Procházet zdrojové kódy

Remove TODO comments

sindre-ex1
Sindre Stephansen před 6 roky
rodič
revize
6879d00dc0
4 změnil soubory, kde provedl 0 přidání a 27 odebrání
  1. +0
    -3
      src/main/scala/CPU.scala
  2. +0
    -4
      src/main/scala/Decoder.scala
  3. +0
    -6
      src/main/scala/ID.scala
  4. +0
    -14
      src/main/scala/IF.scala

+ 0
- 3
src/main/scala/CPU.scala Zobrazit soubor

@@ -51,9 +51,6 @@ class CPU extends MultiIOModule {
testHarness.currentPC := IF.testHarness.PC


/**
TODO: Your code here
*/
// Stage 1
IFBarrier.in := IF.io



+ 0
- 4
src/main/scala/Decoder.scala Zobrazit soubor

@@ -79,10 +79,6 @@ class Decoder() extends Module {
SRLI -> List(N, Y, N, N, Y, N, N, branchType.DC, ITYPE, ALUOps.SRL),
SLL -> List(N, Y, N, N, N, N, N, branchType.DC, ImmFormat.DC, ALUOps.SLL),
SLLI -> List(N, Y, N, N, Y, N, N, branchType.DC, ITYPE, ALUOps.SLL),

/**
TODO: Fill in the blanks
*/
)




+ 0
- 6
src/main/scala/ID.scala Zobrazit soubor

@@ -18,9 +18,6 @@ class InstructionDecode extends MultiIOModule {

val io = IO(
new Bundle {
/**
* TODO: Your code here.
*/
val instruction = Input(new Instruction)
val writeEnable = Input(UInt(32.W))
val writeAddr = Input(UInt(32.W))
@@ -46,9 +43,6 @@ class InstructionDecode extends MultiIOModule {
testHarness.testUpdates := registers.testHarness.testUpdates


/**
* TODO: Your code here.
*/
decoder.instruction := io.instruction

registers.io.readAddress1 := io.instruction.registerRs1


+ 0
- 14
src/main/scala/IF.scala Zobrazit soubor

@@ -13,14 +13,6 @@ class InstructionFetch extends MultiIOModule {
)


/**
* TODO: Add input signals for handling events such as jumps

* TODO: Add output signal for the instruction.
* The instruction is of type Bundle, which means that you must
* use the same syntax used in the testHarness for IMEM setup signals
* further up.
*/
val io = IO(
new Bundle {
val PC = Output(UInt())
@@ -41,12 +33,6 @@ class InstructionFetch extends MultiIOModule {
testHarness.PC := IMEM.testHarness.requestedAddress


/**
* TODO: Your code here.
*
* You should expand on or rewrite the code below.
*/

val addr = Mux(io.jumpEnable, io.jumpAddr, PC + 4.U)
when (io.jumpEnable) {
//printf(p"Jump to ${Hexadecimal(addr)}\n")


Načítá se…
Zrušit
Uložit