瀏覽代碼

Implement memory module

sindre-ex1
Sindre Stephansen 6 年之前
父節點
當前提交
c451f113b8
共有 1 個文件被更改,包括 10 次插入3 次删除
  1. +10
    -3
      src/main/scala/MEM.scala

+ 10
- 3
src/main/scala/MEM.scala 查看文件

@@ -18,6 +18,11 @@ class MemoryFetch() extends MultiIOModule {

val io = IO(
new Bundle {
val dataIn = Input(UInt(32.W))
val dataAddress = Input(UInt(32.W))
val writeEnable = Input(Bool())

val dataOut = Output(UInt(32.W))
})


@@ -35,7 +40,9 @@ class MemoryFetch() extends MultiIOModule {
/**
* Your code here.
*/
DMEM.io.dataIn := 0.U
DMEM.io.dataAddress := 0.U
DMEM.io.writeEnable := false.B
DMEM.io.dataIn := io.dataIn
DMEM.io.dataAddress := io.dataAddress
DMEM.io.writeEnable := io.writeEnable

io.dataOut := DMEM.io.dataOut
}

Loading…
取消
儲存