From 8a9afc65503a7fe075092353ebe163a3417dffc8 Mon Sep 17 00:00:00 2001 From: peteraa Date: Thu, 9 May 2019 15:29:05 +0200 Subject: [PATCH] add rendering description --- Images/Source/adder outputs.svg | 672 + Images/Source/drawing2.svg | 49726 ++++++++++++++++++++++ Images/inkscape.png | Bin 0 -> 129133 bytes Images/simulatedAdder.png | Bin 0 -> 72672 bytes Images/svgs.png | Bin 0 -> 41093 bytes circuitRendering.org | 105 + src/test/resources/svgs/SumOrSquare.svg | 651 + src/test/scala/SVGNestedSpec.scala | 95 + 8 files changed, 51249 insertions(+) create mode 100644 Images/Source/adder outputs.svg create mode 100644 Images/Source/drawing2.svg create mode 100644 Images/inkscape.png create mode 100644 Images/simulatedAdder.png create mode 100644 Images/svgs.png create mode 100644 circuitRendering.org create mode 100644 src/test/resources/svgs/SumOrSquare.svg create mode 100644 src/test/scala/SVGNestedSpec.scala diff --git a/Images/Source/adder outputs.svg b/Images/Source/adder outputs.svg new file mode 100644 index 0000000..c0412c5 --- /dev/null +++ b/Images/Source/adder outputs.svg @@ -0,0 +1,672 @@ + + + + + + + + + + + + + + + + + + + + + + + image/svg+xml + + + + + + + + + + + + + Reg_A = 0 + 1 1 + + + + + + + Reg_A = 1 + 1 1 + + + + + + + Reg_A = 2 + 1 1 + + + + + + + Reg_A = 3 + 1 1 + + + + + + + Reg_A = 4 + 1 1 + + + + + + + Reg_A = 5 + 1 1 + Cycle 0 + Cycle 1 + Cycle 2 + Cycle 3 + Cycle 4 + Cycle 5 + + diff --git a/Images/Source/drawing2.svg b/Images/Source/drawing2.svg new file mode 100644 index 0000000..79a48e3 --- /dev/null +++ b/Images/Source/drawing2.svg @@ -0,0 +1,49726 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + image/svg+xml + + + + + + + 1 + + + + + + + Reg_A + 1 + output + + Reg_A + + HDL + + + + HDL + Circuit description + + + + + Simulator + + + + + + + + + Reg_A + 1 + output + + + Scala code + + + Word + + + + + Worder + + + + + + + + Reg_A + 1 + output + + Chisel graph + + + + Chisel graph builder + + + + + + Synthesizer + + + + + + + + Reg_A + 3 + output 3 + DataIn + dataIn + dataOut + + + + Simulator + + + Synthesizer + + + + + FPGA + + + Compiler errors + + Build errors + + + + diff --git a/Images/inkscape.png b/Images/inkscape.png new file mode 100644 index 0000000000000000000000000000000000000000..7e78801e9d6ae793e9a8b75d262dc2bbb301bda4 GIT binary patch literal 129133 zcmafbWmsHGux*S4cXtTxE`tSkcMt9m+#wL$-6goY4jSCu-Q68#kT*H!+;{)I*B{@W zy?ghxRIjS8UR^_|f}A)4EH3Q3ckd7+B}A0oz57)8?%l^4=ns$_(!bhHhkGFmK@WRv6( zS8v~Fr1SI9EN=GJgEdyaB}Cl-NUQ&(XSpB6e>?U!{Zv5y_vYQZ0RR8Fd+o{j{w0}$ zh|va~zwyIBwbc5|)ZF<_L8O{#5G>uxSmf!^>(z9>!yDTy*L7Qy0l`jmGRM974)h%K zzf}}dr~#=EN%E^Ij;xLDwv<_Bqo(=ZE-l9I9iem19L3v0WiGuhaY@Kf;}ux{{mM^Y zMs#aiFe%-9MTzskej_q$snBq8TLPmyG)a)bv?J_!d)QCF`+o~Rr{{c+_t@VT^8i=! zDjhfgT9(h6e^i}%{NiayVJWUF@f#0b-2GCOH(a3fG~Mqkdk}dz*WK|RZa`n0yqxDe zJ1JA&rR1#<$l8=)u~wgBwqlBFnbCV|T~z><3z?6`-ksYjPh|YLN+@5=BWT>1@?*==D|rW&{hoDY)B6 z7+`a>dE!;sR-B~cT|IU$#KLHgua6JY3PI1Yv!^iUTfu=p_j@dpf0(*K%5KbRjn^KG z@33!leTd~-bJcGXsPPr&vx%Hs++`Q9q%_$bTLfOX+LhOm5c%lKU^MjE}E6fYcN;2+%$r* zO;g6|+Guoa+>n~~cEI$jo{E@X)Rgd)!u#DA@HQ@FRkq73%wGAoIjMP@Q3-TZXy?{QBa--FPf?ubRiHvtw z#|$JT_EyoDiI*rsC|4Fp5A??~l}nL-VrriThZyx-Hp6c1RSa8rHSMfTN=wH1+|KNc zg>Z!qO+>tw3Yz@8IVP@IIHyNO=|!OL99&UDdga%B6BsflC%$sCy1v@#2N`4q_j6P; zo$sBi&ivcf;C-+&x?85?nyN}(2 z|9M6FIt*fRr}uwq)-b&A{hPk_Oo{#4?@5gS1t&v~_f;wYNh%ZV4N@-BECcMLnS-1f zllWqxFl8 zJe}Ai#^qJIE`bKR+Vpq}7yWfed*iI-Z5&#hHUUP9k!Eku6I5YIec9`4TKdaOtdEOf z)s81}1CIXY)3l@9)CC}>--b$|$Hsj-&4$UQQPL6QZdl^>hBS|aOIORX- z&F72x?+GBFF3jndl$g--*_1N34s)W`r}6>u7Z$b7T>UhQJWgS&v})OT*44~HaH=<+ zf)gq(7EvgW<+L$A0zWt%?aVT|ubJ5>s(DICD7nPtvniRNh%8~~oZ<4i5pe$&z6pQO z7bVLd(!^`gI)=_!?T&-x1h{`MO6_Sm2R?3^7<<9!FaGH^zVM$aXZhkDMiy6FWPAN6 zWbvEI7yO^mw=|+!tN{`TnP2!bnImF?_%K%P9*zgs{Bfnc!hG1u}zTT+H#^?>vuK~I7Ecg{C!|OY216iHzPD zC>N8}at@}11q#){$kS6Q7wd%dJS$*XHm-@!fe*} z=d2Fbni|WK)n?PumEqaRNjoKZtLci!^|+Bec{KKM`UxpKdEu<3E26>V+Wp zX=GVqf||>b$J{#m-cskj4k>#Ucxqdbw(f?BijDdU*@F;NBHwDt`C+5z0Nt(8W`1O> z#>-7|YNY>eMoAqll-x1sL1JXQ^MOW5^M7Xk(N(A`s?vq6MiU0b!e^Vy`{(rSYoL2Nt|7O0sBpMKc*5tH= z#`td;dDj{G7$AZvMD@MLxcEP>8`Xbqv6~pgr z)po4Xh8lfyo_i@re=B-D3`YGOQk zrPhKSjPZ7`#A(iXrY%P%3HD?oa`;+h`HPYU3`*G_pUFmNd5d8G!rh>ATbOSRV*mNK zqLRH@B!8_1`QlY|N-V^SE$f}{HaoMq2l?#DdG3ko_1&sP8a%I)?iqkcJs<9<2`W!o z0p`&{olfWKM*Hf0e{8lhs%%lG@i>a^<)9H+teRVZXv<>d;jXr7em;UH>f+}F?;TfB4>6h$ zD2;2Yzl18j%PO<}N5gTUJ`Xc`H}uoapNwEyki74z`94V6a}BQ;!`sWvsgLEtfVzlr z!m6;KrBJb$`|b;oXImvOZF8iRRhoi6J?9L$dN51-BOX5%iqO{pujIqrYGt_gRRV%? z8=ckmw`*y1njgJu0*!rp)jtA|QH$0!&*r-3`-g^%Mq9qB-Ix6BMc{9;I{#E2lXx<5LSk zhvss+)-_(OCKC%n!w4<3G+nxD>AY}k2NPwiRe6t4a3Idh@*rsX(Chsn7AeRi*q^kS zpuAd0B!l_l$#pA`Ao5y|(R zulioq(4Vm)R&i(3Rj`VsxOoo|M;FTCpYl;yBa9Xz$K@R(3x&`;|1ivP)fWF^g-3ZH zx8owROT8RbOE_kT@=1H7+h+DLsr=UU3WzbM*Vir@ceQzel4)&&Z@nC~e#zD(rETha zQe}K(<5k#iJRU|BjZMk zv?=dx=OK#HC>vb@)|W_ck%FO_s1ji9gUEvYq}_gDHCFEheEZ`9aCO4)`7cZrSaW{s z4A#TVP@axItUj=@F&w|nt8JB0oIj!a1Z|o~c#N9ueNXFYDYRxh5^i+=l=m9sxq!hfPzJhsXR;KuoSYNwy z4;GVvK3KVd)0gb~*NL}b^A|+aSqP#EPJQ3p**ZSHlE0+4@bJ2Q%5!k z&gganzA9-tZTC{brVtVd*t0TBL^3Csy13IcJE!Bg4MqqDP>ef1e(}ZZW(> zCdrOk9y%c-c{=v|+B&h(><*qk_IU5;v)K1{4&SL@!YV-}ivt&VR+f2I08S|wD)w%a zk}dYn!fUBzVlCLU%#AhRU3WtAhpIm4vXlarm0H(E8|HH_SfjAi8>~}!twJC+w8OU z@So|qp`_mIUtyKmGJKwkZeW&eegAQJeqm=bz_f>um6A=y7d1(qWVQOm4e9EPm4r7y z!J>Bwudiajh2txY9aOi+Z8IW8d1-_ARkC5eRnEZcK$&$r03f5N#vF(&%n7= z)|_-!{j@VmIxaAryITyf-;RvmayG5Zoa~PXH^6Tfqu17~E>qW3>Dm*};sP$O09`au z=dADNfpVWQ=JiCOPih?7GvT@Dybp5pWFKRSld4SlbUiaVykGN=|#>_i~OKwyO8edH1*vh}G<$E%1xeW!%R#}pMo z{B>$R=3B_59jj@As4-9%{_jkFd+#6y)hu^$rjE&73bELQgwgy=)90_q+S6WX{ zjub*kph$B+b`Fa_CN5zLVT(_s{N^vZnLU|b00WeEQ#d$G2WVyyfl+E}(}KVcP8Q*d*Mk;59w(|RJ|_X1U%+JJ*_y~u)It|*gXs!B zyr@+;$vwqSQICDy9(_WeNn~307PB&7%zj;KH^K1T{5)@N&E$`YsjgM~ex!A%!Y&;U z70Tg}sVI?Ki>7LL@h;)N^rTe9H5k-G+1e5)T^W? zz1@<<^O6LxtY&v|W?#YNSD=LPlt*)|t@M{fqvH%XzM zZ^9skh(2;;YO+-Q{UjP0M#FT@*|&QG!|BCOv{JNWin>r}BSPbR&pm2&1S#Up;$=>+Be4U^<7B`|PLh!~SdZ72)8)ZJz6xoM;;S>xg6>O@Y-SklR!4 zXQxMmVlq@ArXkW9>%zxRQ@9o0$JATP*v7-;*?kYXuGyr0x3NUXVS<<}9Pi}WawcJi8@bIo{~&3(K%Gr`-2)zw$^NuNJ$ zlaLL`4#pD;BMM2xCC3aL&P|zo1tqIeMm0<)^G1w(<*U~iwh#~4!UgsB_a8gV>ajPH zZd9rcofFFeTEA;MoV-@>pKH1d?L0!$x-`HsOb}|>qFuXS$n>;qWP`ykLm@ZajPyi2 zz9kJOM>&Ne?5>vFCtK>N&-Tg~d-K(!@^~$nEpb@caMVcjA0VBHnlNx$IeK}HS2*gU z6a`qHVikOK9GO#bv2Mi1aVS7?G;asASpVb1D$oz}s>jTj|KS=4s3@CgAHie}!Nj2G zY=2;@IX-}8$=6T`3J_Zj^8T#h!|Om&njjc5>fuKA+kb=tIjtWcBPSE25Zh+dQqg}q z2dl^Wc6iX=({A0YN|PC6(^UBU#ghp6{CuA-N_7B}^v&DP@PHw54r6FoAe=ENBp)aN z7m+{vl5klmQTp7u9ZptGFv;`&Ghj8g~MJotL zCVgsLUARx9#?y@F&B%RuhjG!#O_{@%TDDjp|C*x5WMRSHT3qMLl<2M(_ca~76)vDt zdhMQ%!`wxtC9OA&!^DBp3IC6u3vquyd^9O`JqSGD2&Oxt zGvl32u3Kv<)rlLWCZZz~)ihaP)Lr>Vsn!vbO;Hv{$gIQJ>d){Pt)26+S;^6kqV`78 zM2*VFEKf4w`G^I6{(R4e_j*01y6O++DussuqR|36(uOzI;_z|pMVjIH=oO_qOxmU5(k5Cn zIhwJLsrGLQ@8-N+%`k$r6KCbgm-52VNUvv4w9Pjgn(kFv?{Lp~-{!(9RI@SI8^M1o ze>eM6KiHq0TjNRnvsi-}n_5Fg!sm%&-lM2N)5m#soBA3pRRRB)&X(xr@$-&HbJoa> ze1f+gBha#D{aZ3@@OPxYmAnn)5EmD)tv$3=7Uap??bButlm}3J_y)r@&yOVJ^_%c- zq2Ja&aQ`EQ-~I2#bF=gOCQ(jvqTWWVTy2RLO0>|M}CUF!F}eDZlZv{hj4UEh*sdHOOTA z)H)%0z{u#7;C}Frm*^dmv{wMWk^fg}htsY^cZE}^^{?J^>QaRudt9BOsK6FaUd(WQ zXZ*SRGs)lO3*_DF&1Q%He_eT(L;T;}&o_V?`G^FncEfUPU#@y(2x*Ar+7BU*)HFnb zR<#aXNke`|x+OYOaO16|tse>Pf*-IcQLV`&mfPv&KFY`7da2H z!a!hZXsEsH>S(+H%d$s`9xx@+pp(wx%=$q(`6UQ}ptyWiuMOIEVO)2s$!-G(zB_3` zmyAWXF#rDJ^iXim2UL(>NWkZEtj!mX8Y-d_bbHj&Pgv*2sQGiF`hq6 zO>H8ZHNE}3r0ziRmrVQl7OfCNR)bN757fyZ4OO*DtJK8M9E;s(mgG;|sP!kS(d19U zN0d`CzsDcZ<(X08n}>hIo?NJ`s#Su6_d4F1Qq(M219~R#FO?0YscY;$QFeI5Ue`vN&^CRpSp3v#3i6hcT6TU*7yf*gOc@gu8oCGf$(jCy ztyq7eySp5qsG~NY923K&CmGt{-1DGCbiTi8hNcsMocx{d5bt;Ihikj_eE^TWcEf11 ziMeUFtWKJQsK9?W)?aeWX=TM9G|Wy7EaUCSn*$4y(Y2|g&<#h9&D5* zuGG`kRbqqF(w;q&}%}xpHXBL$vgf^bDbBG^~_u!=HN~XbLB6|7yAm{Zm zRB_vx_wz470HkuJLe-?%`rDJ&CeXuOeKk{+m+Z%n-bdD@--sn7CE=csF|SF=Q|pY@ zTAa}rDQ&2$0z%Vg4r$UBh)N5mL>{r>_ ze>RKFvNnw=v~Zus0gMum%vK7UEzu_Oa&V&2@a8-m##&5dXzF4YqzR$d-O{J8HX*IF zGzNxoj>w9!u~EaF?e4F6iFz`&Wl2ze6GXchvy61bN|ILPYa_6uUOxQihLue6)FoGL zeGmZ)bl94jmi|aPV0d)k%4lnAD=#n4$iR;ygD7X&E?10cui;g6SI!|`jslfgReX>O zV-{c1YuR&6w>@$6Kv>AEv+GFUg(5^uo1K`jl{zSWOuInGLM$&?5mJx+h=J1a;d`4S zY%v19LdWg=C=D4#-DkBq?Nh4-&dt2(sNtK-Lu~BFde9LvHZ$k<^Xk`%s;6Mf70Y;TX&pIfdC+}ScHpsnk99&guQi>k!sK|zPuH1MD1*?DHC-WB?3ow$@drtTS zDvyuQQZX>RUXxp`E>6JTjn~>3J z7*$s<+Vn(+Nb0dF&>v8MeEdJL0H3ycl z1mq{vd-J#*PA*ib;vs0wGplbi=Z?!EN^y&!_f(H4Gs@g&&gYfcpfl?H%DWnAg12Bl ztZKEIW3Ma9$n0p_$aRpGm6g>==b>&YP+8#ofloBo4W61@@k$d|wrf+Gmf7}}+xBL_ z`;wH9BqkzeW_CcO;*~25ZVZG9w6MUL8&Zw-&^=BqR&2S#y!Ya)bruqeLzVV8(_|VL z9MmCGvRY6>CDKn{c`?0S)7t$Z_7<-gXh1E7)w#7u2iEzoJ#Sl*THRgUSZ;3AwaQ}YQ- zT5qiDvYL*s1C7eG)8}cHbt>BZi%UYMUP)TBczpp@$86j^MRlyvSP7V`nmSz#1}v;~ z>%PzzCrckmR1`FdtBQ&el9VhqCU?z2h=8>trRbXr#;6~6rE*HN>*byq_Yq{&;Yu@m z=Wqg)MZE5y^6KJdA8*0$KM>mxsr0PfT>b&gOp2%f(~2 z{9PV*e%dO@$|HRXitO4)7+w=jTt9aK$)00mI80j-Q9@gk^XP6lwYVIuHb|QVOwQZz z(9xerRIO;LnVT<8lKeuKSbEsT@b><-x~fywlC-?MoYn4mw&EL;Tbx{__jal!8y4UX zPtWsBMF-`{49aR#rz@A#MJ7Tn{ch`jWEkg)yt6xdd(mK}R;BsCzxz?>@G(@mbX*f| zVIO>do(4Io9#OAC5o&!nRR&R5-e8EJp`n6qPjw5L4y}&WA6xwVy21}8I)YwlnnjAj zKtZ_LV=KcaQqOnCWO2RVXDap|m;gTFvkNlPCwn*Iu}K1+#)njHlWzj@XrjV`0Wp3Z z{8hVP7z>Cu0r`V1GBvbT(NH1tOv}tvp2Ha-TR#}Em{2?V0zbZ*kdxYnJ&Ehhr>Wxw z#SAnG9veTHT|3gAy|Y-kt}uiKAx2)mBewA9^fY5)sH6&Q{aR8`$yN!n>VouY?7@&~Th=OfjrV7#&`s{k?|5yb^JU z2+==WEIs(Lzd_q;H!9o_mL|9?>>ZZd0!2cBmW$N}fe7~7izHA=H?8MYcHfcl@gtDy z-u`R}2S>5M_Z-}y2=(=~?NG`!Sj{`XxTI+)qoiDvky6f@bmg`Fp4Qf@UI&vbwcf2V@y)+?TA`X{x%W6`a zV_m^R<@aqz?7+kh>W0xmo&hBhId91`{n8Tm!i(M6y653}doXEiaeJoc$Km6az1o+@ zX2LrFEiIx5w27%nI<_yu995W{^avBH`tygxA?gz6V+}9qNGS=4xSMVcw&>upvgGwk z$}kPg0t)pptjeK_m9^4%RB5dhel#D8$v>|Id^F9J2SJhA=n`l16+8t61yE2>`}>%< zxM^gfSQt0PikhQE@n)i?_MDh@p4y_OCcUNvIV)!VoE%Q|w+PhVato zXKK3Ok%_4$Kojv>R+Eo6DjI4cI&2&sXSuqwyprgK+co#3+>9DXg9^oGGg!{$>8?Nl zkKKB*L0X(%R`$r*(B*!u7kd)B``AL8I)??v!Q|27!`IVo8}RKZgZGuUL~(46Uq@D@ zp#@~UtF9iL`r4U=`owk_n3`I`+r&s2uk6q-$K>m#{>=TfA2`AjOkJ1XaL+1eP-Cy! z>=hM|Gz$G3kE(pEh0Dy$%qA-?F0PJ_jB_=aN-+o1w3^1DC#_Igh3)z#m0j3lle~w? zYTZ_5aBPYK-mFujxomC!*lwye3Rt`Sr#E2Mk%W^YdiC*OiF`%Orr3uqj=FG)2WL{ICR92#14+dOQ!&be)7 zzZrJvY-zma-`#!CFQ}*W(XB1+fv7ty+?}u0RgG)ukC|X3?)<{S0xcb#2k2B((5LIi zMUKN+`(1fVfD9Np$;NPXWm+hdykaaH2G*1CQQ?*A2dK_nEABJQ5DZXGlDjL_)U+@h zbKDGNL%QB(pmHKRK2T_D=>{tS6?U49zTN%%9TIZ87j?64@c4Ay`+}==Mk4j0yn#Za z0k5Dcd5Fw5-!lCdI#vigsS1jJgmqO;dH5O#<;}(7sb)?&Uo?{K?vIu@y44<;ihXB0 zc*-4Kke8m|y}V?iaYR3it`hN$i0`m-o+K26A!?I+CB0==CwOV|KTfDJUpxM;Zy10Uqr01qpMUn5){$ z2`8H>MHVVzx0}*EJ>g%Y-G)8&xm0`opmP$pPUZ$%vdrb`oEU|yS?;>pR$K`WkB;5$ zkNdZ%+)zy|EtkPDNFV$zcaLt&#-DI;a3cu!Dhm4(IRQ4um#@9lJC(Xl0+cVUMC7VA z4@DDt&(}nfaSpaG{uc!mD=wFAZEK$Q7fYT$2X<`M8hakL_j-HtAuo76Zg-(NZPf(hB>w zvk3`kd{v9JTo5_QHS^qWKK!K&f4(`=^{uN(U|Q^a<`RaSY|ChfhVqvJ@}9Oo5G0Xw zA!7%n)*c7K`Pi#vogV+L&HLu-Mb7jxG^qA1G4C~MtbX|Dta2gk?l4VGlK@a_T5Q$nBH=k_F#{xd?1YgSH`8PZWCX+AY^KpMN_ zJt2p}{qS@joK>wfb%EV#M9WR{Z79J=;|s7-R7O$#g`o&cG_z|tc%z5W=Lb!~(M8c` z=pVUIrO%l*r8F~1IB)Zf-Rvh&$M!&u5cl}l!=nX4L94c~3R-G;Q2k2VNwIjSgeqHj z{YU7ox;AI}g-Z=}!5P||EG+pJX?=#N>FFc^(Ed!EoRFN|Qv0#7F=r=dHg>k8!S=7_ z)pJm!{J)sb+E*k+rVJU@e7`Y%cpD%qTKO|y$)}4fM5n_YpAFvw9f&ajJ6{Ud&+B7- zycOGH+B22&ca^!og=J{U?gTjW0Jjr^+}f#*U&r_HR5GU^Hg&&E!->r^mbuKQ`EmTH zva=cc_rId1b>B-iR{!Gc;_$=8f$AYFJMZGzQM$-YcHIMfc^j37$59IAaiuP}Mfm9a zqDb*IVlEOFPt&#W>igEU%WOo1Oy|k_kD$AnTBTPT7&QQ$4jOPuz8+=aJ6cBDT~t|_ zFIn|z$b2bCYg$08HmP!x&3RJw{?1u-?Hsb@l3Mj>s?^O#GImA8=k9J@{pjiG9v!>B z{rb@Mn>@G<6IDlzfbTx*KG|yXyLwvTMu+#wc5XnrW9h9<*Fdx^g}mI)3%S1r;Q;{( zCPHJ)Y3O(`aw<0^bBxm*xih5_hjXYX4Tqe`(5zL~F^e$_StR@1Ln6LF(~}0Jr@G?n z0wZsem&ZUT0^VGrfNe=&!nBg=F&>74-J)1lx|qsjj%InzuP+isK?zZRLR4k3-Y|fY z-7!`K$u-r!bvY*FW8FVWe}AixE_(acx`6sg%-XKiz~|B-jKZ~HMQMynVZ6oJV*mLl z&*6O0=Sk@jLc!F&lg}DRWa0$-gsRkFA6#iLjKR`s{$-`!y*jw)@Hf3iS0f9-_k0um_Ys21Zvd>v zJDo1yy>a#b^4?ia{~N~woBLnR<%-|`jkW&YV443V=iWg>{lmpVivKU~_)bVr!5cz5 zCg|>C3`3g)c)ve>e~f&LJPiFCLMsxZKkG)M?|w9)E6S(JOJHpAae&q*#=N|-qKGFG z&Gc@&ag^#$4dx*ugE@l+f0!kiB}qW}Mg$=&zEtmOQggGjlhR00p}#guh-u)Sa8-69PhQ|k+=?eH36q$)Os^5)ZZWW71I_E%TB=4Y!nx!F&{#T8(n-@Dle z3TWoADy%3_ec8_7^JV7eSG=EHZrLhE(PN+2Hc#vDK3E^qb|odvyS}-(bG|zzI0d=g zpRNqV6GIo|`#!9Dzx`Y>nSpHG%H*@xr6jX+sos8SbK0%JAVpJAQBBUxIsY(UZjzty z`v|Jhpi}h?f({f4%k4F+5h0D0Ae;VTSd-GTmBH(C$SJQ+NUz<52K~uS{|kh0QSB&f zi2Nu-#m`X+NG~HpDah9!5-WiApL~I#r>93iKp>1h;IsaoIPGe;AW4Qg-1!P_VzioD z%yWlp+TJ$gR-d1qNA!Lxl{&(5I<9=%?Wk{(CPRg^i4H4D8r!rGW2?)vpuucq>Xnk2 zj`n=qU{z=8Oiw>T9~VQW-A5D(!AnT@*RSGH*fz%I5e6DSQ6xfMH!RfkX2lnx2YGJq zFeKkQ3I#e8$?R<|y^Z0PaB%^X#LKaP@}WErH;T;Hy|h%{+jU=1V>ccM8MDRV376gW ziP0yHRJ~G9%_!%)g~d@H+y>kT6TGOR%_<+mqh78>i~h!X1DR~!_Mb<2LE$#D&yU%7 z{I)&oojRW*+Lb=0->w0fB$qq7_BI_CNpY87l>|hIp z6s9IK_PENpb|Y65mYgsf$LgN=lv>r+*Vk+E`<7am%VhzKTGLxQauns{#z!_cX9kc6 zxZH04bm$`BaTKe8j*j^GZ<>$yW(1s1H(!5=Bc5)|RSPyu%gf279FK%IW}CG& zF`Byplo|~O@c7yvGsbD988&wIl_onL%Y0V^bTtS!gZX%LbTmYGa(HNNX=!P0uG9QO zP`K=OX%2C;Ivq0f)LX^&_I9q4Vu^wh4XJb>>TA=N0@66f1ch4xQ2*?DlJTI3XcQ}D`Fwz^Ob zmkhgow-z_->`ur+Y{FA)&X0%p-QB!=e8L3@F$T!NvSeP*k0b0re1C(|hsZ(Ik>PP- zXn$f2I)7qlOhIHzox3z^#jxCApP$ogvNLQ#hkyJ&qESM^?uLH*y;upS!S?#u6J;Y_ zUjyxJ)U#h%CkQgv)=s>}RF2j{jFiEZJUg9s8quNekr)ZIZ>_rv@6#!Dl%`gHOOP4A zE}<0Y*XUHHp<2cbSgn`48#@_GX=wooILPTEd-j%>mVKcS6zS~K3bS;VW+%pYWlx_g z|9oadH8Z=(Pc5>*9f0X}$tcrn!-@5H+y1(2uiU*gX&qUzjeCUGTI;4XLDY@g`E~~9Uk!hPSXmH+ z|1~TJqlE5!cxkV#y~6h;n&ne#^-tHM)zBejGqHenW%a6aR}nAk1P!_+-_7dQR`68( zfqBFrBTc*8{bGVe9clOan}caBz&!1h`7;&Ro7rNT472R=S@4%o4e3!dA-m!Dspj;6 z&5CQ>7(Y~}8|jM#uQO;AvJb|PaKCu%;nf>jP*O;cpu>dz1nDZEl>!}>Ie^1XProxu z)rPPqF(HA>G;tUGihYh7HI4yQ0W~zAg7x?G)mGC5;%eW})AgKmPjGaz_kol5`u084|OfFQ;UKY${;!zDMv)@_f!hqc=Z1bz(= z>e)CsK7{Ny)Y!jxz1J7kr6u7`F)+tBn``(nKRes*wIlKrQ41~3vw%6qLvWO}v-(l7Bgx_1KQwS@Vo)@qVzrsP*-X2BN)K zdWJ`ZFP)YWm0f1(QolL;+UW0 zmbY_S%$XP&8Cd`<Y!J_Qnc!g_VG)zbh4>Ar5X0TFjp|I-8vXtKo13|ormuGJ@UXGz^f@L*NR_GR z3M62{$5i|NI3-PF-(b?EF&lmMGlCccvPekqNr+&GLCUMJ_#`}sP5u?(*t5Qi61ZE+MH3y4Gx88i=l9~ef9fusRF%PI zF?~%QE+yqgCVD0P^uh1v1S911Yy~MhKIZmT)A3@xB`24JKYM}^BTmb*e1)u=L_~}hnzcL%j*Bpc;$e0cQ+~aUPdkZzDB=#%<5US+a6>}_MllgbdPUh#Vk-;AGYvI0wd6Pr z9g4EC9*mHiq_@s+PbyX zRks3BMA`K97U!A8K?!M`BFG7S&UX_}o~RwR$RE9vTyf`TIH^Zqq;nJVwA`cQD*cD! zb=?|y)Sw&`AvRgen~n%V=8|0JOIht?YD`!k1Y>M6gzq%i>&y|ScI?i_tC}1{RGE0? zTbSgMsEMhqdDLNr77$m5l9KZ1@L+HXO%-89CAKibEzknq03XZQ?DxWl&Tu#|qMq+t z<;LI@j~$ebRxN$q>PDY7>xW9B3(n&|Te1WmZkZ}O+gz_FgyT%3O9QPfToFE~&U=q> z-#UZM&Mzc@PGGmC&IcDV{T6R8p-$0(XHLX3uC=$Nl3zE3@=MDmC;8IWjn@-OOe`!? z6N4J{-z~^bte0y$Aj@PB6C7(@K(E^ua3M!zx`;1m_jnp!82eXBv3z#g;$mr8nYftf zd<|2T>8rG;gt&OH!C?~poBe)8V`F282>P#*%C`-mr@XGR73da64zaOHzteWEbS|CK zPP_4kHxcUg`tn{+u!7mazS&FmdWZefVGUZHshl#gH@ou~4y&mg?%Ti|Z3p(aV4qz| zF4h>YJcObY`y|WC2S#dj+!>C|3(NOCx!2XzeUXf(aMa++)^9N#!#&yzGQh6USS?Ye z3=KHl=RQo@DCiN78oy612?L-*eS0IP2A3!L1+-R-+IJ zvbapz=I^hoY4{FI@O8E?`qN(=O(*(L>JI+sS#VX9m1&P%8A!Nk1m6?Ba@r}d%@r#O z^AW6pUC*7*W>H8IGUJtLkck7lUo+6L@GcHF-`Nwtqd|hQhcB91(D(WgFvHc2Qy6WQ zZK%gAH27`eaQDav);D305DUEkI3$rxk2g<>TqJ!1Pc_ThHdZ))T$9#8%$3 zs-Ar=hf{CZ^wwsdIbYt+W$Lj&AOLPX86Q2;b=C29`7u`P=&PSn;}LOPB@~;UPP;}O z76wbJBdD$}Uz2d6soCxWo-eg+|9ppMY;2leSfH(`RajV1r%?{NJHByiyN#&lpf6+R zVrbF2n_6)gKh^V8o;Gj8zY+@?t3Zg`&|)HEYXNWoFK1Pyap$RB%5Kj89g zjybF_74uhl>C6$*OL+?@DVfgH1{@)1;X_BY_u=1ZGdc`m;limRWLYB`0piM$1w$rf zj+!XhN6*h6!g5P}OLDdivp#J>lh`;nLiA2Kvd^S0H?C&1oDL(g_*#MK>sONRRkz!r+U@;+JxJ8its%QdTobiXD>#?l(7=~6WIb@%@0}aUrfQH3ctf{ z@9swUWu-u?zXiS13I+Q5=c>#^715vAar4n_1M`9(URr-i#A@)KM_)P9(Ir>tkP;9< zj$_L;8#rhH+cQP-pQt875|SMA)~vsd>sWIvL`@QLg9f z)AQ4fwe{B1&CDO(6$8+Ff8d)T%d&DsnFsY-WC+#=6&AL%0LCW8K2wAtJy@hMpao5_ zX>I{vi{z)_dx*nG9dBs(zs)WV28`{;s5A%(#!Beu=&Y7HTj38B-1to^=Y)lai;~($ zHc&yjFFM7>X9Fs?(dIuuH>jlaU{M#6uaLBb}onzHlNXF?*uc?zq-?zIQuU$WH?D z>tT~%(~2DoDFGT9tu)`T9JiC+1W0h&zyBA2oP4^urM^C4;vhLG>H4pCw1aMQv8q(_ zJc1_$LtfZ|iyHcg1b%=CUz{t*`z_drE69LLjV6KWhhZF>H<~U2M9YxlpoOu6GVoG^ z`U)KvKZXCnOTo)G#oDIJRgs{f^@i{1-eJ|?>L>jn{q>A7&gs-63IL*JgPD5>rE#P<3;+N^vuB*xoo0d7vJBG7 zk-Buqb=aZ6RL!wqe{ODWJWktHNPJhV$%s}|nADPOt|(J+QY;}cz-&B2Hl0ILORLdp z;e0?L#vlcM9JZKF>sl+OY(d>|@YMn(qUz8%sSHNLPJnLF8($A=+ZXZ`8XD6IpFv8b@{ zY`sBOUEP8cYig>FZdl%888k6cB!)!jO|v<@Dfso}g`vV~(Ri~k5P@&tG5O{HaP<~Y zZG7RkXmBZ397^#5#VPLYR@~i+TXA=HEAH;@&_c1|?(Q1gg1q_N_wN7R^|G=^hM7qw zXXc#mJA3bMPp6r*Nv&lZ@y5nV^Q7l{r8N};^0gp%Hvh0eX2xQ+<1HcV5OuYM-S9d~ zA5v-qW@}u%AREm}MdyE=?49Vb-XQ6`d7yc~@gjMSwr%u%`xC%hqNg;_9*ELEYz|jR z@TfNYcS(~JyT|jUA=}FfMznt_9PzUrKoQ=MKenfsea14`wK99lRV;1{oQXngYqnH_ z$^8NF{hrUE#)-(NsMVM5`A0`b@q5OY6kii*Y3VDhXuilA&|u7ngB^V3g!L1^%e&;5 z7Ey&tMtLe=R^!CIGD~1GEgTS=6Y#4DKUhXqMmmw6kuh~Jz*_*=FA+ONiA_g7sGzJb zPy|S3L=q@@*bV4E-oWlSZIi^3o$1JFl4B$xhgdedmZUeg9QKts^y2`QvcrBtyIQG_zz?hzu{<+nT6f)KE)ZDo@m z?1}fVf8K8`3Xfi4dF|XfzzzoZk&z6gBwR(jl$4~`}HG0{Ces76iWI62CXy`>r zF)p885)(0xnewgQH_9AnG*wk!9-igB1>SE*OaMX$Tl`6%tI=V4#u2N;<`Kf=1LGqz z{a;7x>Uv?%yiY_Cone!n!OS3+4lS@m8u^Y*kx!Fo98B46s!M{SwwuPZj5u8+Hq)t5 zi~8w(kh!YWLa|;fIXnCH%jpO8?km8qgZRQ0D>2c5$gRQtnUCu+V^ht=dUALwt|7k3|=l3vA|)e(qn9D zaxW!(RAox(!Cw}unSu!NQmKf6outyq+pDu(uW7f}K^H*?*C!5}rIA^S5`~Q6ZHMHI zNHZ`v7-Of*2bC+A4>gO=Ww4!zAs_uUvJ`Z^k6Fc zUXn|yQ+2u7y{CR`(v|X}5Px>RdzleVx;V13j?TpUZ(#qtdqYEc^vkQ&BMxkPb;JX{ z3Mxda_H)FX*zh0VJ)@!*JQaZimh2`-)F)QY3QW}U+{IG?Jxh9htU~Z zdv!R0ZMFS?hO)waLmSqwK5eHpQkADn-tXyhiX7ahVXqHp?-<83!a{uzJ#mU^OD)v8 zWqsevvO6=Ic9~K1R8>uFY${F^+4%Lk&({7+LUC&*>^FN-CXiDrf4}09Abw#}*m9q@ zFflzg!C&Zjx2u=3F)~uv&R8p&9)2TF>QJJ`m6Ga6p48xa&HC>HSVN6~nfAcIfG(p3 z2i-8Kgxqv^kp~cKk`mOE!eE8vP8KD|6Pj#SQ-|=#h_>Tqd?iBl&dOBWXjR=IVKjiLNyo*^qw zjV(#?=^s9FADF3~p)M7Y)B6xRuWWKU=j`!fY7z0vZmsKeRa078_&%+TlZj-5Pb;RK zFp<(ROC*5kZ}X9bp4*ipOaf`8e&ZYXNz7G3;$UbixGa7kOw|RHpO7#emv5-9z7YGF zH!CX(F>tBPaktre(SgX1m}1H9xI^cw0YXQIxVX5?NbebF`T@L|%j>2u# zbL9>Uy#VHDGe6@pVFNcgiuxgLsmVhp?m|y8j*zSy9ZOuPgNLWn%~sH1YwCM#g7v%h z@yUwrwlX$0c2Cq~3Ed;v1qAF&DUXMXTT%9Di)#W<(@1dI%o$#EEmMlmuzg7l@9U?~ zZu${nqRBoKMuaw0BaIb|(N8*7$E|_6xzN3y&D%OYekvv=zS!9CZ)6-NLJWZYakz4^ zK>iipHKef)8Accdj)ZCAK`0_@&sS$Xv>}1HNr_RB$lh5i z%`8hS4(^YpcVAZxtv`jeT-fPw*nfX})F(u3(f8P&ix)1mH{hK$J0el!U~(bgKDTO* z?@V8POzPx6e7}3Xc3ccF(YM!}GJWYnNGam$=x^w6)sVAnJzXob?O$aiJJM#=`#_>$v-0`g<&feQ0OLp-9}zDDrx zsX7PSYUBx2K)dbn_7!}7O3fjkLBm{5C+qhf@c~d?6&#s4m+thr7p((2!$|!IvEX21 za*++%)Sm7jy{V|foL6`jl>XhCj@A!KTLM=})hTvBR;kbc<9A zD{CvOHeI{dDpFFAfj-<<+Dg4No38N&y$ zURXVfa3&@dlzx^o*{+V-C~Gm0Fb1}`@dCpi$Eb)9>gD493e&-2E3r;gdakJPQj1g>Cs>fp# zZF^3%v;}UuA{lEYap;wBg}@@@B_d_e)-KgIoY_A3ZeJl#aZT{~nQroRehvH7YnRI} zmD6*iJ9C^OI;1bd$1!iG?R{hJM)_o;`_oA;LnT=BUu0Q(qix_LG;zp_9y;XtMr?>I z%FRHZr}h2bzdNbblTxbe0~!d!6+I&zXKr?Oh*@~!mG5KpVJ@0_5_R0KCK#wKl?|i- zA%~jR z|GavIF0VW=UwA<7ZBf{^- zGhHSPbBil%^rPx}$=n9QG9mt8ZRPF_T-(vTnrQ(D=RAnk{Z{&%L3ie5+_qZ+!8xkLAaP8c|@gTGJ~CN zd*y@QVE{SouUDu5A&@2$NDarI90by<$X+#-ZzWJ5^~3f+v|kt_KqUW@Q-2BTv3+jQfeM#DdmZ|SSBpqju+>qqeikbljC(w5puD21OgmQ z1etHKy#0y_A6%m2xK6u_?bd37nNM>jCZn7nM>-1-FBM68p7^d2345inV$@YW$~H!9 zkiQW$a>&}0wG|g#o1iE7?vobzr&>mzS-r)VxrqUMDiG*bW+sdfV(E_H9`X3Z1Ux8@ zrrqz|mq~vE`-=E)90lV1yjrfTtYmcED?dZV>yc9D{aF;RFQowYsVHhVciL5h97eV~ zcW-<%9R~wMeE*;juaR{&N3f&SeFA%XTeV=Bgx~jDC>C^<5JuBbU9$~G=J+5N5fN#T z_3;S#Dv2#8tL9Gz<&JN|c<_My?<`5u2P8GUF{9$@9=A@7%#7<5L6>zj%_TJ@ z8EPv103uk46ZMMQ5y*xtQ(EwoR*Q>YsxbZ|?6y<8vE?}w1TL=(BTf&h8YZs-x`H;Z z43rhKYv4p3@gzj%Qykf z#yibdA2$~)1n!EP~ z#4RKYeGmluF^6+A)yw(u@BQ3KpHttgu#RxX^`+i?XREz8A3W`7rr5>$YHhC8{T)!O zQnQOMFtEHYcIJ45EJB*-0(UBz@5`nAz0IZ0wos;FaNX-8sJ7zuvhk8xE_7z7z|E<%Bfkaj)6F%-BCywkb-wS|1KHc9V4itp- z-xMy+nHX_|U%uo0lKTqu%2t9#Mf`uj&T`}!@Uei>>j0iRfY_UKXst3aVPU6mU$m|X7Sk3~AY{4ON0S#fhPtYc0~ABllraB1D=C6FqLI z((J0Y`okBWWjdNQ&hYrZhPeYcq=<&hzfL@(dg(7PV}nSGT1fsqj|*f^EBd{pS>5g9 z4%>6xrU0j9v2MAGWRo6S)ux7Y!c&rbT1XG7ObVt;(SHjDB!N=+4z8t%|Es~n@4pHy> z6_h5QXM?0yhX^6y3C#wFrF&5=vLsK)reE5$d^HKEm-pF&m%Z85$^g2O&-b}$`-LIt zX&el+DZeM5gz((7BPwdl>JXmGalvbQmE5`^aG2|c!-owB8K2qgNDPX~m+Q|7jFiK# zBc0gUU4Wb$>Y^<$b|Khn>Bc8MI)naFetDWGUt&pYIy}3CK z*@-EK@A>-JC;t_z{%U4shJ4AMyHAUq;OmLT13D?H@nE=_dR=V4B*^L56Dss-gc1|( zOFm6RG&u@k^ZCb?p5@-uuwCf-)%P#h-#m7eskisW&;`v*0pOk^M1&9iU;ucH6?jBmpuJK;~Z|4{*>>5n{&7_^*)6JN9!5eRRr$VHUJ7Af2iO zk^>!`PJ6$(9q|iWPC7tDG2k(CFrKMitFPC~mE6DGu>4<4*{*ZU+H&9`XTregVF`gL z{mk?poQ?f7b0NwEyxF)7cuTuw$gZBuQufZ+k%CZir?%XsTl&J(W`-skIH2F=jUkyfO4tlc zOyHv8{q`SZ;@^y#NHLE7bN|X#sI925!l#p4`dhd)T1G33VYZ)Z$<~4R^~mT)hsh!# zCN%z-K~{>K@K=h^re~U)EAnU5WhfE6vu_XAX43 zKm_L|_cVa6a;82{^*(=S3!F6Qv3?uBrC!2j`WbpsdD!|BC99^yp7m3kO>ygX+wl+! z6v9yYxSJ#_^q;+aXV+|9)`G<@wIPc~g{7oaKjZM}zcUr+v`#1Qn{rp~-h?q!(N1C* zTgS7dAku*^xv|7?EK`e|Q;YW1!dfX4K-r1*XWk#K-!1Aj<8r!=Y}uheQ~8I%Yr7gK zw=QFl2Z{tKV4DWoZ1Lk?GwC4VQ?6g>FG=Cdf*kjHH{XPgk1Ki9K>mDJ0TO8pf&-De4f*B1mNB57xiu2~ zxL~ojT0L*Y0F)L7S27jLf^g=A*sKE4`TG zPIT~FCnqg->(6J)jR5j*R0|9Xp`?hMi^mfRvBrGLQe2ny#%94WF)@*mk%57IkOy$9 zZ5&WXC9om8YNy*6G+2Q%`sgj(4_5#y%=Xg|A4REyvoAhhL=pq?jP;Jo17G_9FD}b1 zjFFO(Vjo4f?432*AKa73HQnVJ%s2 zkiUA)Z~{&xUt6D&d$)6`Lc7PqM2^5>W77WF54bEahk32k_;-!mx~o0@zPG4VDMISNt!^6kE+DEmOF0z0!c&X8tEEFkN z2lfg24bR=1F^!IzE=b0m?6&odOxW|~aXhly66D`}O7V9_5EgVht+KMsz4ey#5Jj~l z%TjhXY2DEO_XPpHMz2@EW*FlLL4?#6YC8Amfy-`u^e`?D*AH8Rbw<~M>CIjc@9N;= zkYga}k_|_S%>K<&pHDtRG|HdD8z>=7dfcRu-;P-_#gTMHe|s;9jP(2oMNo~1$?8yq zNaK4e8#>3YrgD!E($wGc+a~l;ZkA|+KL`Vz7YbDUc@rnrD9TNIw)f`$zu(6r=}H32?;rl>lc1l%bcG$WOHlvMPVv2iIFyLc$iN)+fA9OQh5_qw zb!PM`cpix6r$vg4juY}fE92iO3oDpIoBbA4DrN#PXxeE)xfx({B!u> z8wXRJHl}p`Z)M~sJTIl7s!(A#Ly!0}!nzIigUKwMVBi3N7L5S6w{&H4&+pTtFof$Y zPMZs>|1I(r7`iymu*Y}2?oE;?b*zrpc5>}q84i*v@;+b*O6up!Z-r#% z%=gA)h8T#kI|r)@sV)yob!}u_E0R z*yr*$jsKj>dMxg@O&uEfC-v&$Yp6U7yV%XWSZm&P(N4fWG-fv-O`CF^nfq~XvR0Cz zw5#bT?q73(48)u#8!=D_XtqaNE1_(^xCvvojja!8&;d=^xY=}%_pgu}JVweaf%V7U zsN>^#_IX?BfY_S+*cziz!KMXY^M&yryBi>X;7__1r=Q0@XSgo|k6eXpZ=_pX3B07f zd_*hp;m?cVSTYKU;z7x~v)NiwYL&vmg+oP>GSw9(T`Dvkec`L|Mrb{s{>(H59ZfV| zH`5^u>&_^oX%lmIzBXrDVLAPkP!I83nRiCPOYh-e0xvuL&Mcla^S$QL@)|F@9MqiO zyH|dS(Dd+V9}(+9HK2pi3`WL?IgC}6WirZ_{o8_BXZ0*1u40Yr-*1;?c_B!6v)>T3 z(Rh70iX|s|0E-i2qHRX^N1Kk*EFHHCULN_*R3EQf{IF4i#W0=K;v#+GAKYhWPjdo3 z444YptluttZruZ=nOK)v-V)O$NnXzc%Ruz#?`?$dYT0@gOrdfA^;w@9!?z%W2?fTU z4Je=nRPd#=u-fm??hVo>lAvM&sihh0ajAbQmXkvs({#uixLl%a)~R+GycfK;g;%s|AR0Y|nHN?rjy{uB48v zIQP(1xG5=PlMp{Hja`9P1RvhE5T>UP@fz=(H6%=L~{88Y& z;%?6a&zJ)(yX$ed!E&A2y}D)b+XGM6@`!t$#%)M;0KBX72JYJT`Qzy>c2_lmh<|Nq z-kN`gX*nDc@7>>B3VDA)vX_nl%hBtlrX@X5stI}S4ig2_=UB^bBF-#|_hktDllPye zc%)hKS_=an6Yd$Z^Pgi|^{g|P1=WKJqjCxk>(2aEH3N^~Dv7b=jXdPWJFt*Y4bPw8 z)SnmFAj7}RvY_8>EEEG?Ky#xb-6McdTI8TX(G8#BLBIBxC88q$L26S=i>sR($v4yC z0`Vw-I8LS4GB!7F`FT9E(d`9!e8m6s2?Bwfo}L2mY0YMv<4Lk~orf)u80vQY-0vSM zh_2+U3e$NN*Gb8P_A4QT3LpbGsj(_>ryE#BS((#LbG0%Jsp?1F925v8+LHtPtXw`P ziGC4bvuSl1-iJ;?sGmQ3e7@Ss3f{DT{+Y%I&{r(43|e>#sT7=)wj|I`QF_9cE~xwl zLKv{Z82+S)Ss>G7Rehz6-d=7HDU+avg1WlCf4p1ce(#_1b>%1!z9=pDCYodc7uN&`paQXM{E4ngzdbG`~uDb1U zn0A)0PtvLo80MR5AXzCa2m`UM6KOShj7Y}*?_JK(G_K(J#z=KitL{h-hM>dT-YAln zM2CCUX77w=$9wUT)Tm=)L!yG*YC=MXZN&T;bA)IOIZ;XtLnH;v-Z4TQ2lfuu(w@{xw2~8m{MGiU_1p_>tu1I|tpfbz_u%M8BL#j|hl+e51I4du@o?WIQ?O_Mfj6;| zKar^QNlpE^KQiRR(f`-1q`HIoVKZHO>s!+}vSF^X0(B#O7JO?V=(m?2_kl?J^rNG> z3=QehcLd8ktssjqc?!J=;8CcTPTu;TP z(21O8)_&{{^Q6D($#TuFzUqI>oiVAEU5#Z|5rF~;eRpDKjQVD5p9}Fy7-(&lrYJ0e+ONIi%7v}J8Uj0Ei0=O?imtGz}mrZZ{Ynn zwvR;=;6FOh2Aws8=kSCd7U%o~4HXIl(v|#aAN2~97bz-Eo}5@&TU!eX3i|oI>$KVd z6>i|=#>1=KA%KSS79bHY8}2NBsCi4~^neBphyi*Nl1zAw#VSwl z8^b`LFeDSZ^^VisQ(1DK#R|XY+mSy;eJk^oE6plc3}JStFG3)ponz-7Utjc`E+W$n zxAhtd=j`*iTm@7lq=>aVPkIyU!5HQmw4iMH0Lf~;&5zl#7X>&;U6 z&GPax3(_H==J26;^VZkb|EW9EABO&rE#>)qYuRcCU+n^?vx9De-|~0VZG8oiCx$A& zG>Eww9)(73%-7_baGG+K-+n~XK(vtrZAyrEN?d_416RF~VA^kUD)mft+7eGk-?HwH(2>`_~sL=J#q; zYPebaiKxxrYehKHVgg>V3U)mfSoRItc)HT$S zQ(t&yLMMb8-7K8+3F8(@9q5SlJLB$ic)H?vPUV%pfHl6cCEyW&KowJq9w$?-n;VFl z9x4PjQ+!Do3o#W%_AD)&Ie58|?|+lIu!Z*7)Z%SYn;Nj+oz(_9Wl9K(p;c7!Qq<;> zd&^Bv@E?wZyde3{X|F9Mb3Yp5UoIpoe9PY1(CaAKy8YAG{<$Q5MB`ON z)G;Lttbc+US}!N(3D}JBd%YE8_ULEQkwh#D>md2*4C3Ij1n1XO2hY7X!d;kNozJC3 zuFRa_;*C=MQ=Z*v&1pGZli;vf>++g>8(cgb&)z?878Btd@64PXd$E|@*dakEojv9O z>S}pbC?r?76w^1FD`NwMIj4E6C{_K9O|yavVHLK3Vlkz_=#N|x!A7u9Knj)CK`%LaXM1R!-lNntFB;6mGHBs8?E%i9A7E}^3GClc~M zN5^hn*Dv#=H$6&@BhFb8xm-y+^G2#0QE}SY9c=fX24Yk1x=te?kQkkK57Xx9zaS^Q z`n`*Jd+p2RsfeH~pP9lyTMKw|I~V&xlE<&$na8J5tvMb$q}0Io-K@5Nu!y>e&(LjZq#Y9i;EHL2BKng<#PV0 z29OuUbY$};G5@^uZ;FzpunpCp?BHA2xYxfJ2X=A=uWV>T5DE&5f9x6chfYgD%gV~~ zY~29@AcZ=h0_oU2J0b!Jrjmn=%@mOq$g4y|0(Gnnj?fpu;@Hpqg5DP8X}RU)>6NE8 zL_=Thy)n#(HgJ(2k^mLNggc{&5$AU-Cc1J=4A3DkyQ}ShMikf1xNn}P06qF{gc~G2 z_^%en;bJ{)rxJ$h%@=(KNy(wwswJz9{|U&eD3j=DY=MRYsn#U5s9yCiy1)uyUsb@=N|Z_YF*)lKE2Aaw07OHDGfauTV6zGuhl_@O8>m zKcnavg=2llKJSx=+RRxVm8__roeVwlcWibX&B=|+bnJo)6QuQF-84uW2J^6{n$g$x zpdMN!o&KGu5{a9TJ!-=gCXz+VUnl<(LDCAX8etu!la-h1KbAPyGo;W%yFKrCxSyaS zV2#m8Qgwrt3p^1(TX>sow+a^Sie^ruBw|=*($P&KhZ&@Olt$9q=u^M}E%u$KO$weo~3fe8N?wQL5zA zJcK?at_0xe&HS$<0^XNbSKRKGTj{J8h=E)`kG{*vMVA87IyE&QusJv|`wP8AHJPi& zi$Q~aO>k@b4Unjw-kxC2>}Uqs)EH2OG`ioYJ{IQS`Ap?RaBhhl@oM$ z&#$moifNM4M#HLHUM`2Ce!`{KtOIDly}iA0NbICbOB}zKW9aU$q(kM;HuL}-IuzX! z!*Ri&WPd5sR=AnYIr3=@z7xE5V0zSYSOH7I5crVQe0&li??e4$MkSHnR)e#QPQM#3 zxGvw2+sfymv4yc{cD3DD?cLi31)ePooiK2FTEdM&y0NGH>=-o`t!>C{dH40>0lXJC z{RB5=z7@-pwyKn0E(PB1eI_?tG0FPWChvjk89WYa*xIA~YZfJOT+vn4)^(kOY~>#y zQpu*$BYpUAbAK=3^Q>y!EgU!S;c(2wpv7jn-egE&Fc+iqbv$zc8WcMy1_M&~LWBU) zMB_sR;S2o4cfWim4 zo7uhecxO>oPuos8eBkF!p7{x%>2CG{mpYRZ#LMs%J!bw#OT0IOxZuB#`IP$O$eBL-#Ny;1S(Eft`-ISr zStD;x&dVWlQIk2nG<0;O-)TDZI&Z%nCp)k$4X_jS*R|Aq9H_86(|5)rLlgo*A6_4s z17;{5>6n{=ofSUHE)I>-ZZ1x^-9( zER^{wVS*B7=r(0n^-M@u*k-pE9tZ;y6B-mA9=>(+l*XvX1BkvnJ)eOp_xwrF)1sa* zW*~42!kYk8mTYJ2FZtw(p#Q}Vl7fXgdu ziFNHRT#mx#>vlp!EX=DM@425|c0ALR0_rQzcnFBP$O=f@A<^qEDPLFltIewgx_x^N zrqU0gCA1!iJ6k3^{K577vtAQRUaY4}E52B12U&tg9lJ735W^w%>U;PR)27}ibdZpj z`q5kZE6hZ%V6W@*)IcYv{9HDc3k+yV&Fk2{3^V2dnTLz(@d@Ga`ME~7{Tnm$_co4( zd44z8h_!Z?g|nxjIAW@#-qX(T0bkh{X^u>1YR<{F&Va0}s-<(LD_wwRO&T#dxBWuI z8fu8sO)GpPrN)q}r>s3n4LB05{OObEj;g1+ZmCP$)HM-HJZCX7M-S9UO!K~$5nhz; zPU+!8z3=R-Ee}OI_f@yK>NvD4wf{bz4JnU@0wMDc#+3CqY&#VBlh-ci^LOJyfi7h( z)}QChc3%EyvrVjy{c=v@XLlH-wR*(PhbHV* z&2!ZMzMO;B2pN%9smst<#KcmZNiNC!%9;L$>M_L*6yL+iE>4dekAKegYsu zO!r)RL&j|ZwOa5kgvTRk;-|i?*N0E5smWo3R%{wl!9Isnd(SlzALT7x4hbU*`9Kcu zzJL#*J%{Z|K}pHr;XGy_IjuJQB_<3^a~hMu@rkRgO0($x^W^=f%GfQy!ev$E(@q%; z$UW-hGk7;<&TYjtDP<(D$OXM1!jw%-U1t|1vW&Iga0iirQ0V)s{^#WVv*)jcpt6T= zhFN|ZAy7{SzWL=Z-)WMEKkHbujko&=_DZ7f0t;WUBgHoD|V zE#x{KDGpceY)(J+1M%j^Eyp;&G7X>wEH}-H*#?m!k_J29(?rHAVJ;jj3G%w0P0h{% zdcg4LXq(NR?wp*BnPS<)$y|GT`(DF8fxyIEGz<+2anHaN?$abP$I(He7;(%;g8h z#-JlXP8LTjfT%-E-eqT6w#eLfsOhDnWMGmkijcWPM2-vTy`@G9Y)i^KJmFwGa3zg5 z<9RsA|Mk!mZC?`2k?@C#Sn{SLfT{^I3A#)d0q13~Uqh-nIu=*ux4IQNv49V?+SlSj z3c50e(y!&r;;Or)Aw@m3oT;+HG7*2i*5H8Dut8Nd(Xxw%1)zBCg8a6BK{-8k*CA1W z*b4-x--Ey#qkgYk9odAvr3o*9=g8{Ge6YhLT0F90dhnPb4C3da_6cgAHeJxaId0 zQWBq#u-s}<+G_th)TPqQ)Rgiy*4o#c3v3rlX}8i`>1wo%u)SVeRN69tjq?ST=JzmS zELj))Y$kl9?sgbQ=)+!Jh52L_ht~lc2vpf3BHOq=@@UdG3G$C8-HazSIN}g`^9t|L zsM{_Ph9zru5FMCYYBD&pX9QWvh79cxe9(ts3zD+3EOPSy5Hic6+G|DcG5b zW@ik_?sjr()0B7WZCEJ2>@S7MDHOy_nNv$?{;LX?_QFNxz4xMqx4`w_grul*Xv*v` z)?QaH2(jdIQn-&zAEYSR5a?VKpubpK@u-&J?yRV4D?0nt>fx&YGH#z5PXl$qIdm)xT9kn1r=3qtLIX|E@$cdgJsvO^4L}t-lDz6 z@$%A7jnkz?x%w>;flLuhS5Cnm9}Vqs+m=H`hfx7<^IZ@q(BPTt9jl^f45KB${qDwV zK!yq)`A(j^_Q>@h=D|i}NxB=`Ex#gccPWQP#tv1_C!931g=F-~?+54Il4Ct-VWax7^kParjI>5`e#N7$t)6^w zfN0^s*Zs3_`;gwj<5ZOUgXSyS+R_1fX zl3U~==EIjLJbFhrwxl$UoFg9LwCOpzay;+)-Tz^ORt6ry~Q;# z2GTq(R~dd<;Fj?WD02XB?hHh!>@428^|-S-E?ktUR0djPpr;#~m|)@K15j^YS0{Jh znlyRf(fIBsFU4!$U!Hkj%32s_h!-`VEE+x+Gc&i71b7)@ckt!>l+{uCKEgIg_iy8K zePqnxKy_v>cqRSrhT+w?hG^Z8jrZi=G-}XmEFX8TiP7AhNdQ_2tGaaa)*L!qg^<1X z?{YIV(e8qVR<2Ah;!Yn*)89KVoM`ZD&jeg^hf68L;#794&gf5sa>%>{Y#SbWr!VQW zXbEmV_p8>m4qM&PZuv8Oy{+TY6BY}C;GaHwJA`@av0mr7lm*B_gJOXc-3mHm@U)g=%j6Bb#kY219&y$q#UKBrKNfB>cjFr+5YAY#sb&OgsmtFJbi?*+WV;K)5QYYpI_6pR3GpPTyzO--Y$Fq)N+#PzU7cOV!vc? z6o{0j+xf~@oZ)F@J9`ldRF+l0J12ZDoz#GU2h_%DQ9pHVV;dh7R}Z8<`QE)XA$xc;{oBVhXjL-??hBWk z7GYk@Q!I&8FzKX^_FTCn!nexANo#43AKEcgAEbk#cjf=VB}0}osdEMEcWGk*Omg`_ z{VhEdlMWqlL$ka+`RCT4?9v@QABxIRR{lgOOUpSetYOC(FPE~{Dt4GVcVM8#;)G>Z zZUSIygje&Oz3AJ6O2DX(Egqs0LT$Xe*~_%n;*x~8ijdJ-TUAA=1nGqPHa@#xD5O=;mT#M41w~c;yr3k1K9hP$rZ5VOk=I!KT$o~@J~xA|UT;dE4JryVzS z`}5Qu;Y7IFsBosD{bsMqP+FbC#Z_$|DxTBks0)+2$H zh;y{AUNeSu>3b@b3ygmSyVb%BuI0QroYaiOu7%DIS2c;z4{)$~mMatbb3Khzg%^5A z)9~yWw3Mi<3RcRDAR1c!!M6Ly;?P7oz-3X7|2zzi`&E8**RiUiMBrE&f2;I8MLx!;3JmkFnth4MHjvIxWi@Js=u19oFmP{Z$}rL&$6escNO*}Tcp z$?76H{Lzx+t`jm=%hW8@wA+izhR&za=W{3ZP1#}pK?Vc;A zy7qDdtLqBs7AT0$5BZ*MTpCZ=X!P!`3CpROq-8n;bmX?5X4yAKYNhOR^C)O*!#oWm zE)REWCZo-gp15L@U=CZzNFI{P0`<&~YJCgi{bukjGIixZCnFpxQO~y)ULjhbdL2H4EaEi=MG2Xaf3+6%28^iBRxpUT?hlJ5rKES{B2-ERTOfQbe zYF0pYV}X6!&M-je>#T z_!!eyhT*PF_lH0RSE}8#61eotC+gDL+!B5=)yTg|-{qGY%w%nB=$lO!pKcC|t&Lf~ zeZ#JA0x+k_*&AX3?PeJo5=o_W6i zgrDXxxNP=}zL~H5m0Xh6KEmhn(>?MVUcPd9e;8VytzXyjE%1^ z6o4kS*LGEa3A_csrJo20W{!W-(9^q!1dryOq=h0orte^XZkD94B*_Qj7q~#YG4Ll( zH;|AhT&z+tY=1?hc~n*e>g4F&L6>F^^$U`t^a^2DCmF+do?fM>U@0P|e;3 z4u=p(J)KEc)e~&ANIKIST z{n$XKL0;wcRCHj|Leh5jZvb_+d62=HI;w?ZpJ8Zrc6NGtdVPI;V!{sSxBy-OfX*!J z>_8!Cd47I=TwEMb5!3ts(DfHkaWqlaC_D-75Zo=e1$PMU?k>UI-7^Vp!QI`02M_M< z?hu^d&fnyDzqQ`~-tW$0tzo*ms!O`7j_h-GM@L+ZlcC{8UnG97hklUe4O!T(XjsGY zD-xRMYupBx{?#U-Dku@mQA*0dU~4=D0S_yqXARh$5l>!;Pee%koBtQQx3$H>=Hmmr z-=@_u_Bc_)jz}3j@c4`3-_ETyO*Mc$Cxpk*>HaX2f zg`KXqW^W{HCv12tWsU5y#8VaZrB;htS{OCILIMN<;93A^Ic)=Z68}uyP5V#V8;hzQ zYi~XJq>q`)Tq4s;;bg@>l-Z1{H4&lncF{#4g$C-6Br&k1dA~_85LlgHGssAQ=O1|) z$9S6c+d3|2ulo zI}7fgS-Vx0A(JRQj=9avsq#etzfH8B-^ZoyKHH;jEunOXCy8R73$nV7b>-!@o}|AZ5O-k2xfu)vGS}!yZR74^@Yw~xBE5Y z(qYo{SmA>v9A`-I*w0&B@?^1}5aU#4WBPtt;*Y~_TLUp&7-H{8$_wueaSE4Rxudqb zbP=c`b?UK{{;+DOs?)m9_+@653D`&tHE@6N9m~=e)blN-YI*uv=y(=3Bn{>1xw&dI z`>ihQBJ4ttmVdO-%$AQ3ffm4>U7w=NXdz030wCIf*IrC8TOmcaH+9&B2Z1H-!B7}H zrdq<5LNBtB1d7L!ye1m{t`RTuF%ZU>pv_yqw1npg1r_-Dw_%+tFHEF(RYjRvjKbVRZ2$lB+Ns++mez> zB~|5}%X?W$m56cJi~ae$2J#E}y;sDy`JKV#$OO=}Q#hjjx$E1%*d2aKJ8f_=rdQ=D zmawz4tHPgLY2Q}+@p}4HMnoWH^`noC)z7(G?VSv7|CRixEGLKbwe#ux5KcTn#8VAV z?`qK|5x6dDTa~t+Ld8v35ViXgSaj>s!-VDA_`VXgYG8mujw0Ba{od!reZEBXeZLY0 z1*|Zb0B#=|z?fLFd3DD-HxU5gW!2?5|8T`S<`(YkTtDrXvl3|=xrzMGc0NJAzZdzH z8oS5Q|CJ;Jz+A!!9w}UXtquAh@ds^iU;qUA!-fYk^y)DJfk5k(A=6C~sCbdkAixp| z3HpQM$2;CsUmMjGk8rz};{pUT$^yOjXLolw+36oRS=2jN z7!8>84hR=0;5B%|SA*`-+|7UoK@!0d=QG0pMqpp8?+4eTO#H(e{|9Z3c@;pK7g&HI zq!beSU#Mtw*NW$!k~fLHyreTev-uak6}I`?f)i&eV^wf^xs8KRDnms z0IK|b^k@;7xPcKDy(58wTwA>J5l7Uv@52=l z`xLa;WQUkEp#Zwf47^0<|_+d8@w+)_~ugp{OnDh1Su?6g2$f2$Yip}BqH=Pld|IZ%TCzGwpl8y zLkvPEZM-kuU3y9I!2K=@cVAPYV7Q^{l>yUL`EBB;XQBL5m#c4g=WZn>fN z%jsD(5X%3tgW{UQu7iV>4`*$rBRdqX?qh(sw>t=5}}fY14D9o3~%Ma;MRJ z-0@2I3u3?{DZ6FeULUIJF)H~GG~|9t9sWK*5l58;4zsUhw>|7SozJl=JrY~+;#JGk z5A_{Z&e?B)f-L$*mkD!_rXd(pN?T4WEP`!*?&v$qgWc`wyE$~42HxRg&hzWa9AbML@8GlLcz z@oHu1bvhqeUTwRU$kP|GiDXYi-vK5FF z;!;Qi2EQo?$oOiv-u`@bFs(1(zp}86frLSEfJ>V@#RitjYORHhS*YHGzMz#=O%*Rp zd=aalZrZ*>#rGH7r=ezK|LyOqv7qxTEvKIR+Vmr8RYOh&27@8kwXB~`Fw6yR3~j;z zYtGZ@SAPdC0(e;x5)v!N%P}*nZ2+fDBkqZ{;$^V%FdRZZhw6;qOPt=35eK{7QF~q- z>id;FR*v{kT?;a>{FoeofR#v%g4V`4)kDQvI{Vw?gyQ(Xz$bQgK$86DN1vUYB^2~q zSy+%O%$5C*A&44`&+wwG_Y{U#_V;D>BvXCP zZt0nqyr*|*tPA3%CeUYoSI3VsZBGi6uR;e;9T+&`U(o#2z%Q}y0r)tsS5Xgp*{p%4 z;ZiB0TEFX~()<}CJME`+>>U4s-)!o88n$EWKZKaElMU**U+n_#)zU7RFp??PW^ApE z=E|2<)zn5e9e_BreL7G8r~O)APmidW7$z3h_uycFPtwwD0v7I#>+t4lMvnRK`0f_0 z>qK!7=!)peQ(iG~u;+KeG*m$eLeOUi{S0Ir|MREragP>1J34iyYE`ig1I`eQ)o8fr zg}94su#DOX`xsT1yA3wadyu;4nM2RgGYwR)uBvq2ZGfHo(txN6hq%_l(D>2vXx<7q5MBUiv<50KGVgpVCv0x(Xtk-Z{VC z+(z$w>ZphXo_4+MN%Qe5Lcib7FN?UwLpc_&0#mRc(5IGE-fzhYl!tbA+`i^kErT?V z&{i<^thP19&pV8x2?g>dpVf)EB;Cx7?RIf=3IxsgMMVT-x_8%_GQ1zhGAJ^`zfB)7 z)WyWdU)-!d=Jgw&h%aU;NTYdu9UF`Dx6FYO(T60BIIeM+B&&Bjc}ThTy?g-TUTsG> zgvD`F!;0A=>E%@B>8UJadv7v8-{1yh1D;fZgN0ty{~r)k$U_J~%#86z0w5z>9*A%S z@BFwo{NJ}4|E7a(Rp6>}vKnv(k^uU&7ZvUQnaool;Qjc7zP3!4QefqVj#$t!s*pnq zkv?*8$+$wB#*GC!7rX%?qrythAnr0!7#2<3Yo#Qs^3jChNv}S5k+@iriN%(Z8H#=0 z_4L6+^#Rx=4MoJ>iRIsZIN%3=5SDy@;9HwEdP8$Y9Ed{#2bcSC^N~A~fB3lWd9;Uh zy^Zx&yYkt=s|SS_yCL7s&cpk7gmcBc0)Jnh9n-|#!}Nl7vD|)ZzERyu-uLE0CNAmm zBomurO!svv9&^jm8u@9WGhwQbs&F9w1iLaNfotoWZkgDLH`j28tMwXw*(N)hL2L%B z`NJKfz54#vl#$F>>e;8KJaX;KzV#Ddh|J61dMTBn<&(8LVP|33>cV5y@x>b%6e?ve zpUIyo_P^{6ILG)q9#+2$@RzkYfBmyc_=T0|0ZPQ)U(mk7tE*52UE~;ik)EFxbllD% zU~ObvGilo@Ay!*BP;ZNUt1#cuv0!Oz({dhzN-S|;K=H{y-`)5wslx!dpwf@qb%i(U zhNq@j#1!FE!C|e{GN-e#K?CEXv!}O?a7ewKY3tFc5^~adOE8A%{CzvQu~b_Ty-nW| zrYrw5krU&66N~5}+QFcmV3ui=^AGm&j*KBx3zigOqrZU=+kP*maq4By_eqgpRB!J` z;K*UkMmI{cuLJ$IYye=c>za!(itoHzZwzm5|YieW=i0>O$R30weeH`h2*T8k?$9-pIw^Ueq z+a}k2<5j@SL9fOeu{i`k6w(%axb}$LORG?jJ<7BVOA5XrdfZD^hyR`!9N~8))=1Yu zFjTcPWz<%G(gJy=@yg0LBqbY~!K>h%=Y9XeFi0u$(BPWHZ}9BkB*94|CZ+oBWXU^( z_yI}U-#f=v)Wu@>&)Q{Wedcq=d^a6*i`jdsR-A1zGH~*=yNx_TGPAu$NV?InPGs6^ ztHe}Q3oD)1wBb{K+eB|DDcJGP-IGUj8PEdG*`MCG6%APIzCvNKVdaNu8umN!ju9)Y zd_zYs)C0KES3x8CZu?!@Slnlg#QXKL$FDYHwbiTI^|%*@OU?!E(u0ulKEATxJkcFg z?!!f_?`25{iw^{z-6f6Q-@c1~2a7ixUjB7m9ke7Q6A>mC5ne{t_UwL|SE}6Bn`cib zh|($9)g?3LZ5mL_wKpWBvaVk`Yk%Ba_=_H$2tik~o=v-GKk=Ijn9F_)sIvz655nc@ zM-w?B&Q8v4zG<%~$1;UJF|4LS5Ap6*;}08$trST`t&T23<Gq=3T84 zfL<^-r+U&iq3zTHmeXP{RM@ZN=XF0>Y+C2-9~Djs{4$$@S8eW4>&1U1wTz-$y+5&* z2k`iHG`yzao~XK?s-iD?s~#h6vT<2uQoBVo$urxmuM~r7%!c>n%VP$YW7ldoFB9icY{%tzhs`HkxmiCpPxxm2 zz&;^*EdRgvL!ohn6qNc=5fOJ=mkCOfgi1hu5)U3n0*R3((fp5Ovl*zGfBVwEd2g+X;`h=dpzMYRVuNaRF8a%gX%~LH~ z243}P?2lkbWY5cRQhWHsAHyB(oPR6s11ANeoGMtN7bt4JjW!QAnQeKl|E7-5*V^6zn7&_hDKitrbJ+q_-BmWQ(NXx>_# z4g($o5GpbcsVxRus9;!b7R7clvfgt?wfNlE^cvs)?I$i!J~)s4Vz(ws0Y=rji-3Z- z&oUdi<9jJwGGWKkU#xaHT$a$KV!-isPmV4}qVtnu-1qbyN>rHNt@mnZ^q!Uc2#0fH z?6LIv@_2bKT>IIhmU4Tg{mz9v_6{WlHL>2S6U7{1G{|r=KZ7^Tnj#{jR+(CQjGh0` zJsuhnZ;j9Odg_GpnolFK&S)^2n?a)QUiG*%rq=TQyERV~Q^(744e>>H=E(_TnbGa3 z#%0v(6%<2Ca3gV>mhl!%b=Tse{?Nq8^DV{VH>4wyblx9!lwj1#^NCl17bAaT@z^!1 z*?qmc=d_BZi;xDQ=&AQA>nuZEWNwn9p&{?#lMlQ6A=LtbXbiuVg{b?CKavUTbEwqK_f?8UjHTHywM^iK6Ct%bq)OB z`c%niU96IK|CO^96C2z8Xzt{Ak(IIX^c$4tt8fABnOgC4;Wupo-@0hL8J@H!Y@3-Q zoAqk^urZ6 z9ro+#?rYCqhAD+{lKjFOp3k?Z5)dSO60J$%r>eR)ZaG?+X^&5ny?&^VH@5Nkf9|RO zFjoJJK$jSOjTT#a3kvh;^S@?jGdaNbP1?f??OCIv-)llKhDEy<=rCir-JH_m7ho{M zZ8BdDEo-+p+nlkUTQJy{4Y`X-5F1;rH)>Vzl|YJx?0C9%n)c3$2=N;KJowxa{d!mi z_p%kHE+DngBR_bC5>dV|n=st}5CU5Q%$&%2%NIdc??2cW5shyC7oAV>#&MsQy~Lw6 z>l`+oyML;b%By4=nN=xxm^w;uQ@A?OFaNqoNZr$CT>Pl@X@ypn%8VYyP2 zLIuIW-cMN)-Y(H4OVP!VszZ(|o8VaT0p^KGqApM5Fg8gr*G0CGWC3lmNWML!^7$QTT#>PmxDS z#E#x`ItIu@jt&nm>zS5wig+IMC1uc20)LlsA|VKipyA1be#uhD(P6mGeP zFzvFK{hXuEI*7Ly9dZq|v=(m&TY!4cQ0fu4H#Y@L&Oa9@-+Rn|^1e?D1_ zzEQaRKJj-utd?U*`oqt#+bla}&mwpW@-zuUS@j42SmD3C0DreLy@RYh;@4pa2noZ( z!pgD2CFv_IM(gV9`TcKtzLd*oYL?m0`Gv*-8wx19I!5xNp8hXQ=9<!P~J?c-t62$X7cPen0UJQ;GDz+Ay*6SL?M&G?edGXQV5EAlR%@j8| z@0ZRV68qidXpEmOYBiRPo&Rz;>1n<=*`(u_1)g zArzlWjgK$2cfuwd(wzSb@Th+P^7~Yu^9i~h&fML3)Hf%aYQ?`1$!}P?vAvLlfhO{E z4ZRK{r>1^{-Kv*~X%wCSLASBw5|pyZ=UJ~$MXkEG8;St15d=ENNM*C-07@7l`P_Fu zhzZp<|2h;zf_?_V{##pH%dwnGnl;N9#QrrW`+c)NjKxpd;N&W6j8;M1M2seHkKJ99 z_@pDEv&>b_cD{dY20e)!5<-odO`qtLy!KS`-Qj=eBK>#fx8S?z`~Nyd@`fD-KA%B}Rj3;f+cPOdpZ`>Zfk{xM z#SHJ25y*MCK9QjggzZ{iESib89svKlAxMD|es4c~-Jhvri+}tQH$_XQp^lc30~v2( ze0BAt^Pb{^-4Xv2J;VdLE)izWMo?VaWXpfuKA8v*=XWGte(qDSFaNJvV6*+|3ls0 zaFrtSzfNs6#e5iIWkra5FXr7q_^GSaX!~zk2Bp|yGX3{sIyk5sG^O^7B?ug`X|_>G zjI~(X)@Zv?#%ffJSi_+fhd%=)`1iZ?-!K4C$fA+2=V4`oVM#gM}p(E+Qe9~U0H8VSkg zOJG3`V%7$nm#Je2PA`Qdcr-i2xu%~tR6#!X?NYuqJYI797D)En8?uVzMj!+HA_pzm3YL)7ae8v z)~t=eJc@=7#`Lw)w!IM|Ga)H$5UnS}wZks#5*(c#_w$%ib-%0A4e=MgSh%}0Yy5EG zkeGFqjxS=63&}9(w|kd#qepXiNGsi5>Ui*A6C*7eGLUC0JFsW~Z?%Z4pXS67XD3kJ zq)U$!?IhrNymdmf)@dOd*oO&aKK{}XMc91*;IV!mzDc-LXNgWg(CV~11jNcFCRs*^ z^V0&xFc3%q%YHH~bVi;Q%MpXLRV*X5u+kT9sBm{9lwU8TedD6j7jDtYS;t+wisM|Y z-|uDbDq~M{ZAkeAE3h#!MGW41Fry@*;wyum491Rh<;NWvh|J4aG+|YST)u6TOsQF@ zZ*&v8&}^yHn!37O+OC^Mrx?9zven7#tY#wsZ_qUYQ|l+g-vO>ibDx8G@-cs03EpY0Q_xKh|RTy!TokRk)PD^KK)>{OyVE1n+Ze)FB|S*I8@d|gXm-re1a*|k%eKhtAH z&VJ9i{FweoloreFU!A885IpsuJ!BmJWGjhwZf$+QHs60#uowD-=VIXZSIp#SE{FtG zn5e=t#__bpL?u0hat}WX$^WSFDp@0_hwDv%0Vxtn&lxZ5G_3i3kb%xIVfZd*c|>?@ z?0l=TX2o$>w`gf9u1<1gu-f;>>JP{Fn(*XvFVR`J1|f2@aX_)jjflcWC%pZQS^LFe z1w0WpICuPPFyRIdr*@LsotZ)Cwl2DqvmIXL-XjDS$AEHeb}CT*yK&`|F*m$yef4J& z$fZ)6d_g_>wN6qLmNZDsUg?`Sr$`#fTgzq)N9T`d*A=pOVo}wT67tI&-Expu`)0xo zX(h)oJ@2`tzFm}0OZ@Qc2qgMIfMt=ZG+|Z$fh?qVmU9;Ox*32j+N?Iu+Hh#due5o) zOG*v^DJy}1vbM`)+R&r3NB{#+AyX$??hYg+hl}9pML#7njXoDB& z<(+U`Kd-M-VNp5!egv7bESrvbCWEkJ2Bb5ZJ6{8~Yvk#y{1w&*EO0p0(X(mX%r0hGy`%E_L{K zsH@np;90Gg{!Y~lL=_Ijkk%=Y1skcq)d+;?iOtr*SH7?;*c37(o-1fb<}2Iv4RaEw z_gmHDAnhVxGp4hcO3xA$)2ZNJ?V_xf9xP!`3-Xy~d)5wCb{~c3nQ;9RL(a#QlS-8! z1D0~L<*5$Q;(WJeQtb3v2n4p&r+@77oH&n1YE>Ke&X#E$B|yND)(mj^t>|tS;mH3h zO;i=OcJ?m2fm#waRuXPJttWTs#n2;jIw{HbX3_j|f4oWq*{68u-Je*vH+g8|Ec{?{ zKUBaLcETfo8HlZuni}g824%g8NtLX`7W`QV)y32*EEgcAqS_O%nLB%J zEbHBhtb*QqK3~BX?6pltTj6S1)vGl_8Y2bj@mR|KhLmk7-pOg8Q2BU48TS{hK|9k& z82@igReT5^kK0ei=5kN&1*k6w|H*cIP1JYe+oRffJ!^@i;0YF(l9HL<^K9k+nVKoQ|*v-fSGkigv|3v0H7`fE%`}mtBB(TDS_M3iWN-+aE zE=qo`EYmAIB(Eb{N-dqVqC!CHKzHUP;TMXK7F$%FzR=@7EJ}(ytWVD`&g;0mQJW{t zW6vKYgYux?t^}PkeXpUa)siM+KDn%zR`maOFrW_|N-I%LP6ivYbGlokM3pa5uQTA{ z-#HKx8@v-i@w`t3COW%9i zBjmP~KtlRiGX3PUSirD6)h)p{-IZu{(Yq<5;Qy-P^eDvy9;QjBJ+~JZ%G{@t%Ot`e z@Yx$#%#(=LrcVTPnD~T*(M*2cP35f-4TH*UuhbFL%eUs7I|TjhYcNpY?TZX|EZLqd z8sJ_KiH$4UKJ-#L!q_RGAE5bi^po{r_-OvPdHHLE=H^+PC2Jz7>_5YIXM9jVx zqCs^$9UjFb<^U7{1Y8b=KxUcV9w5h;J@A?W5NPJmBq06tVGR)?GoTTI&g*h^+65|A z{Q?8+L62nrccG37@Bd$@>v3gdyLsDsYkd31fMC;T0`8jDRv(~ta)~HFjcfw~iY|7C zf!NxY(T4Mnb{Vc%9rv_=pN?Mb%q*A!K(Z`Nb`S)4$!?vckCkRnUv3h>?;k^`4LnaYk}v=UaB?LN ziH5%d&Fn2b!n$HXG-q8oNKvN0ds|HP1#=M*W^8C$BvT9Gom32yD2m7|M(nvGu>$b5Fuez@@FsPvr@$H;6uB(@*E^;@y>Y#s5elux+ zgqQDK@Of#w#+6jPT$kt5kc%Fo?FJpds9n2Rl95ELo}ZnIE@aZ?j`?_M-c9D%IQU=nTk9Yg>l#pWNDR z6v&7b+!Ky{+1%qf?{G_FyG}N={b#Tz_r`Zc6#TPSqcXm^4nd6>>`+IRJ)hjZhRmUR z5DAfXW5<2O(ImrK$Y@$|5Ke;F<-2b{xWCQC_%i+l*hBy-kGk60G%m-jnGzLjzh4`T za)SH2X5(p`OoD(HtHM<`tyK(rHz6*?tZOfWo6ZV5igfSa3(8|$lucq!Q8p?!JQ=19 z=CznYa)O}|gC&hG-40+RjY`a=&--El=Hsl^B%LnOV^nm*6`+>QVHz08@geh-Xc=6L#%j)ozGb0dZS^4{$zSj9L1{r&`Gb>rgLyRR>S}K~&w));sdW~$g3k9( zZhnLT`a5$7A3;cSG}!~6=MTs3Vtnj z^y7culY-5)#Elc^Om5j}VX<7TQk!q&R5%!56pjysub`4pPGttv)0Ug3z3Ky*cy2B^ zi_Sjs|KDVHMHy7OrXMj(NFHMn;;>5fAr}#X9+Ya>7?s5Wg31kklYT2>GK>6ee3$4| zI&Cm_%SvEWV>JUo&p)!#QF9|IY}Kin35(kO)SBJcop3PGI8cpt%P)I6&JFhKc7U^C zXGaytfW@6oBBsQ|C;0MhzkmKqEsik}(DRLhzNA&IbMx>08*tmXtqIDdS3BmzVPJKKQkvRE1HO#y!fi z;6rRSY!aP!V~@-dH(ddn`5fk+mFe0VZL<{%dIYxyI)d6}?3$aa_xn&~$lC~kXWyr$ zE^M7f=MEfyl1>_qE}5~P;&S@xC3?>O68$ls9ufMZiym&^lxsZqqbc#hcv+ZiX8Mv- zye>q%EDxs;igQ8Rf-imt%1Ww{{PEH&x!Th6#NqRap*m5HN$NgGV>YBLMP~4DVvt{M z?h(WY2%TPoBBq9=xID(cJUW?&fyr5dT7(LVOTxV=`x+~awfaA z%}#^Uc)(|v!T?lZ3pJN|1IX-lCB?ki%E!&3yE{RE3Q`9bDw>e@W{@gtB>nzSLC}W| zYa9EY1XYYnVa;qKt#f9GomQ$+frs)K&`!j7qa0Z8)LM|WDBf#<7*TqXOjF(d(qJp{ zFr2ZYjAnGb)LPC`_E}2xn{YtF!G4c)`g7j zNezxwH{l>YK6oO2ua)(6g^wQ$n!H>WYZBJ|ZuN|fb7!pp)(%Y8{QUenyH&5wpx4$< zj)&=u2GJ1_+0J`T4AiTyeih3jh3swX=^Bi z0=k--9$P(dDYELWnR+d5y*)k03r)^P#Qv@KH%}`oD?n29sBIu7v&Ho!g8H9*C830g zg!-!Uz>+f#ulMD$`HI}z4J*Y%c##Xnoe1-YX_oTkVmLI&JC%@Td83o*=C+jM<3(yu zFV;+;kl#^by<0-SvRHAvuo2faXMGGWU(C8vze@P@n2>NtWaMaG#iUhzZu#4>iHTyFS?c${yYmbg z7y|3jA#-vOfTVxGy9+Ih3W@P9TVClrRtJg!YdDV~1R$yd&TM3%PUk6n@zX@hjujPZ z(|@%

-UIcKA0upoxK8lI~B`bZ>QlK5vHyVTeh6jOOZt%O&~mP+mk3kPG#T*3IM` z4go=Wj00N2n-UWMy=Czv1el+RnE4<0yfA=#+M8NYMmWlP5;+A{Tf3Vh3(^S zpY@z8szUEN#L1 za?^P>M=0q=OqheJsiJh45TEVVhLOuc7~T*6&Px5cih`I?*Vuaf_{dez9qCqOL3%`e z%)$(bQa!KHp*6ck!}sF;RvKpi)$b=P=G(*u_(R+8LMCF$LRmIWtFSoL`|QFj)eS=p zF?Pdzqq%}g;f`0xuR0LN+cgxKMphy>8}p#-nT!&823e`em2F(_;KLvjtvQ`XbfmQn zc4=vjbvaQYXq2-xreH*USSzVF3yueoOw zHY_RMFw2KBT_X)EmcMTjAD-CzVt z{R)1qUdp*dl)R&3jXO z4L?t0=!jIL4-Q=hDLSfHn8KFBf?wW^US3?5(?4qFl8B@I8-H3Q#XWq&RHx&zXn6;+ zB;-73ua|4I3dBf4l9nGo&A0U*cN969c!EXVX1eHW{(ZKTk-m^JV=c>e zJrR3TaP$va1`=@1-!LK$&w#YFJR}jgLZ70^IByRPA5rSip^nvn;&+v_O%)-O@6DO< zrNa^asVLqs9?MDQN1w1rKlA5hhnLCt*;OvgC+$L_iA=kTY^)YRN{KP%)BNzHo9>-W zD4cu%0C^zL_0PQ{*ID^20ar3K`qYS{)D{&k5g~amp)VHF*#8Q83GG05)RZwHw4_P3{cD&90tkF9#lR|sz- z@fjkYu@@Z-LJ1FYYiQ^wH{f)C2}(v*Vl``>KnJvITPOy-|A!I3JQp&03D)2!I3)gE|07GI6d{GtT#SY zJ1r{LYsC7#JH-aHGQBy%Kug-Hfmt| z*_ad!XciX|h80sF>(EqsDuMxk-D`uu>Szu5v z)t&^P?IGbuuOG9~4E34pj{d()ZnS7-Cj7-%EkG~_ed z()G*tsjFAmTNSe0qIUN=&wj1)tuVj388yweJ2ORJwoG^ZGm>tx?Q`09?Beffrk63N zAf=Q2a-Mfv+iJ~&VYJ}#TdpZE4s;5`o9bt?iKkR=8hO!<>$H$BM&{tIhfz^D|Frqv@@$wRn8QK2)c}C02=U{@v6X`TR+X zLh?N8@4~y82lf^eURj)Ds$E!8U8zEw^SjVBdFkl{1A%34a=xDxE5^+K+k)q@f{ORm z>&Z$7( zf!KYH)mF(xck)nC&WBJmX~9rVc_JNKGhCb#8`YN^k>;r#E?LD<14eDu>OZYmDcJQq zNNKcBT8-KuiG8EHtlE)2?!_PlyB!dqZ_!TfnpzYv-V~-QroDd)WZYN;j{MF%_IAE@4XbGH2-xL3qxH^oXoAaWhbEHTjQTmQ7bA;l|mNsDuMfx zty;c*1i;*4iW{m{>4b1Luj90Pb+&G5Z2?n-WxLdPsR3Wef_}1bG?0K5kE0cc+NFgk zE)VRuN`qW-?K!FH+)LsV+jZZ>qfoW2sIaamTJ?Sw7n);uIya@boi~`vAh2O&PH;Hk z=Q3@^J28vFQdFbYrt+avDYD5ymP!4LWr>f)razovV~?U`%c1tTb9f3yzy=64dWb`# z5$&%X4JTlT86RA?y~1)81>V*R4^;pNE1O5p)f4*R0+S;`VqL3tY0&e<4nWHr4`7x( zb$JEf63`UQCcWO7^>d#Eyby6n;|nxO+Pm$PHlf9RDNB?7brHl8&PjzT%=LBYbN&rW zbuuX!H79k^vP5?XI}v9DIsG&2CKBFP61BmP#?z~_jy?&!4tj`@%C?*#g#`s2T%Jq0 z(MgQjzs?sX1<9ZI@46xy_5J0l=FgVeumNfdV5A?=JTmt^=W;dnDKof!?(-1iECWvJ zPs0#ox?#6=p$e^j{M&PpS38$QD8$l|@`IxtzN{^xI;jRRNqVK`mSHunH$UyMQ1_I* zJcbwFqbtCgPwng{2)2$ZoGi9s%W#uv%GjlMl)6V*6_62pp(A~GQa*wZic-37RKz4) z^OYM$?^OQbLRr$PbrfROGcXya@9*u{Im-RjG3to?( ztKzqQ<=S+mLM56;EbYn&W^ybb&eGb|oc<^2X3DOmA?C#%9M(YC=K z+#Hs@qO?$!|EsB|x#p_2C^`{GQ#cf}lGHa?f|{m68y5?oO6u1y-{%*ns2|iTWDh7e z*audtY}<1bKMh~2yq&LtGQ4+Us`+)xmk?v^T`Y#Gs|0vDLv?u~@cw9-x&;@%_==fF zSH3p)2=~xtg_via9rcygmb1IUk|)F4Q&Pgz9}v+_THTHJ1m&z@TQLdj!JrX{fJsD2z`eGIYG`wP>-LKcPQ@H~*=}sd#Tr@A!tbPDT#* zqI30HrJ_-JI@X%^r17G=>vo@KKpF`v>Z0g@waG{Yy>mZb#$DZAU277;sD(YsgfwDw z#y&_N&g2eHAa}2*I^lo+031ylZy;ptot%%N1s*nGI-Tg$m2L^C1gw>&Lt89<6CX+c zrZ`<%vU2^jBsDV%4*kh)N)!iY=Q<3hB zCM&v%W^+1@m+nGw&t{hIvP+~^JtO~PF(T|M3hu*$N}|_uyF1STNMoon1$l24mG1AM z;3RIsEP*jV^XRJ9m3k>HAa*~Tb>9iu1h`G2eY0r$QzaMC>rgs_1nKrsijoFVEzBGb(LXR zHC-1Kkd$ta4r!2*lR+I64F$_f3Mi1|zZa`0w}#D`x{3n5Q@vR1vW zclh|M&jL_L%hLGV*A~@Nb$0U0NngrBem`*&ULevBF@*^ZYPQQBV3-I1*9wpGO?x9^oA5Ob z2}-bmLVs#)N048~&yaWu8DyrRYgm01}9Ytj{hN&Is~y z)UmDX&#B><9?fpuL3R9|W1U2UiR;We&*^SN9E zYFL+k>Ole>>v`=6)tO`is@mXT#VTS2;=fL&E24zT;45 z%b$1Yp0`fRW{+I8kz?7+kSdF$)G*x1sSnG)RRx-^KDb;sNlDE%nq%nq{yS)M^CJa% z(P{c2yyXVfa|%^sh0nXAE1r*uX{pz5`4-r^R^;iyJaN)t+y|s&&m+$IYwP5xT#o30 zLP$%drUR^GB7d9ojnda+7r(5H&8&B1sm*-8+4YhA#q!$<#T6IVIb7+)qoa1zml-$$ z!o;Z_i=KtbL*MdeN}BABl;TT*v|L*5H;$Ve%?|bz4~y7|RR=71X>I>7^}E~n zV9dvrc->+fN5`T;I2>hLLUKVE@;GrJ7D4%ckPZ-_qlF6+%7lX7)|F`HzRQ{A)3~ov z$Ut_6+L275Xlwa2R*!Xm9lq3Jrg@!UdAU?8mv%Fq*;QJ0Ws_@7^zRLycrrOhW~)T6 zzd?A$wmfE7B)?12xSF1oj4#_?VQ^SUI}8g83$HX(yc@Nm6~k$QJg-Ar#)D_WuAxiw~Krf z8dcBR!Vx8+Cr|Yjzt1r-ZerV&wv1TQF?9eMNJ?5e-9cv0gjTv@?zc2u>1Y7 zmGby`QFaV2U-wt9e=mVZ1^2{7{rbm0TL>09r~Ft<^_Q9F$Aay^PkoIV^Nt%GQ1Z<9 z|NO3R6a-Kf0(oUi9I43k$94T^Wr(o+Z+tjcou2^WM^ai^_+3(VdwV;89*wc|#QkZ5 zaijdn8~W$5T-X?0p5+Ac&I~QGT2#kp9f@wTFytf@&%*yYBW&52&6#+_c?p13y}x{kr%Gk zVnsM>ocky4;bAP+NUF=O`F8Tc^&oUv_t^iiAe3-%v9Z?p*JH~MPCBbl8SAlVkZ~Kz z4)!irvh({TJZ;PGp|W89nK|w?;7DeeByB*2?WoJFI;CwuUX2vBTXSn}Wev-fUvF_m$+8imq~3awrl}0Gsbk>^KeM4kcxKf zbHSHf;r!$j;a5*?XgluF`#Tcf?!=oCeMqJ@LFq^1s8%|an)E|c+fD)}AFUc*2<;zq z3&6L8yQrZTmnM&y>KrdK-*H3{6_}3+{XG}}fKgr6kFRyF$6xn^)*Fch76P&bLe)P` zHn?yTj8>QD z9Ni1WS8vr#jg5N?ZcR<< z@BhRV%JK*{db;Zao`O6*$!_0x)Pi!pIj0)SV@#AIx`94=eZuBN`xCR$sm0RmXbRmN z(csr39dCnDvbyTa^)FG{K739mob6xvJ`-<{w>(wfzzIX16PKjX zLG_ab{z_?cYajQ50hv{VKSzMLE|&KvZma~9%-=b36Tj%{O_kSVzuz}^07cO=k|SJi zYe<6n|0D~>73JT$&)n+olp4mWgMcb!wPYO(1CBJz{};jVq$5|8D8=VZX!q~Agtd*7 zlfAq(q@`w|`FVc@Q~qvfl)ma~OjTL1&zAIbx{|=i_$w?H{5Fd@g^G_Kgb@P~RmMW; z;L`XU&vchDLP-ARqQag22jQY?tUmrlx6?YA*X^XJ?%(!&MZNRqNP2Yl-F>I~P;}UX zyc);ze%0~d4Ovrom>i6B%W24@*1YRxqx&`NxeN3!^mL83FV}khu-=c^#aHvK?mLOK zq`K}OhP1!Xjr8TD5)2+A91X`Cr7fNs#n}#Z$+x%(?=O7lmOU>JUTARcXGmGO^DoZv zZW$OCHz7^9VN3XT;jtjH^UEd)Ekkp((c2cLwACXH>s3 zbU3XIBB4?zt3sw>{Vsy#-D9toS)#Z;A-?@^OR+-bsa_kF0h1^GH|}62myk)upePOE z4r$zJBKB5vF)Wv4330{p_KDbdRP;l`bhg28s+O%$G=T_I4Nf^?bB5bvVxsmQBDIm^ zB!TmNr~(1x$6bD@z4jU2lt`8b2K|HmbguiJU%npQ3^A5fS7*cbR!W34t8EcZS7`bq z3u!)zPe;<%*t{Hs%k`@6aq*`5)9jDl{NkMyL%8eYg}d1ifTQ`#A^G+b9u{!#g@11v zS}E_Cmb}a`gu^L80XRjC|3V6mq967DKw!{v14a3lwJdW9nh)nDUWWQoR);S7?MiYO zCe#cGaoup*_w_W5Qb9FWxa_4R>L(?7s*An*n1-f9@g zVSY9{pZmQbm@iPwGc~%|%?h8dvm(U93x<0IQk!k~@if@ldKKbCJ|`MzvTuKvMZ^u{ zq^MZcQr!d*WwW2ZWI&!5(d%@&NM$WbeJHCKHTGMSu(%VBKJz7qu6hMx2u|KTL}JR! zfuW1bexvUuk_j5_kF*T9p=FL^&L;h=Y7v7FO|IV1O3?Zrqcl;wMjX_Tang@%Hn(`g3uB+u~4Z@C<3h2{UCVK*L} zot=TmU6E=T5VoTMN~F}(8URTwA|j$4r(J2#4>-8Z?k>(p`9Pf>hYW~o8RM#Mc(q#> z#~PN<4xUYGTfC=!CNg|V&x7X(Le}x*Vv=T%Ll?&OZ-t zM~zZU`V`3#X4oJRGtlN+xO;MBrjZ5{7nS{9d}~-kl!dgJnP@2I;v}vLiXshpB)fl< zrVXw5opsd-Z|CP!MTg&wDgH9*uxp5kMx$Cy%4%QA&zhCf)7|!561#?b<&VZI=c5bT zyM9Ro@U~6OuiCO`KxG8p-|nPqYiS`scUrYQvUxrMBISoz8r7#PO74O-)S!F=yx7@2_41#oW+NfCQA|3lUT@XMgIlI-qA{ zBdPGtYm-nCK@%`GH2w`S7wf}=cWp}R6G@%ou5tNB9=WPCFvoBsr-o3c5R`>o2CN<4 zUt^^5G(H`m!lM+qk)%1dmf;nRtfT8ySV#E;FU%e@>`(>%q|1E%R zzRlIYX7q=#)turIn-~*wB01~YCxOT`a-S&bC9k^>!tsHg6eG<;aq?Q2*71yYy{&h< zkd!uijHX{D4YLmT(SMli0&$1%a3o@blhqCYA6RI0cb%p(2BJc#oXQFc6JSmQLCK)T zoo73s(9q<5@9_2OR|5kBOUqI*G8=1a=Uq&8^Iz@Oi`Ofje4pCC0iUwByL+0~^UIfQ zK5*Eg3p~&w-^no(|^#iifGDqgm>`THB8MfGnEA0^Eu z4k<1D2537#nI07th0FNqo0r$mc!?~keV~lm(9m#qch}h1c=9LoI!0pI^MSyE634V! zAC}i4vBQ}sH5XyVypziH`&S*=-U{y;CpG>z0s#@zjFtOe#x;B;Bgn+zMBsnfIO)Ck zsdh$imw=a0G%)xTha*$`MO*XgpOp`F zbGHJxdI}N*p%x+LnuCMoupzlVTf$btex=bEHQ5!{FnUtcI&4)UCIw=utxl(RbspE^ z?+%bEvGa>bXod|@umu882fE({hcQ-jVx0bSUO?~~Z zo*oJs8cA*KrInSoWl*qdXADXqzI^!t&@Gqe=SA!o)fE-u($ZV!w|;(p>#Strm{?f7 zy}d{Toan?#8XEh1dpNkb)RxOaFt>j@*+WN3UOp1=lLK(d)YKG^g9dPzwMO6|8!OCM z++5RK&F{F@hqcKhGruKPN{Cdru|}k$9T=p(tM)Z8#xBgH+A)-u84N)cfFYI_pNWow z_L0G75sJfVb=OflWO#UpC$x$)mRGmD3toAW)|5qPJIN&(wAJCW+PA6^$pi2a&~j2L z4wkinnlzpZ$HPVHqY3DbXxH1r$v{`+;%G^;8T8T@Ao;nuS#35;Z(_3d2d#Xr*n#qWk?7aP5eFfG}blo#yt|7CADE8tn`qImXAw2ZDIW0<@Ta zKu;YV9f%(mGX(tsb$l0>i<1+rdgW$UAR2%l-R|GDxm*sctayT#1iJz#njxAg)AjuQ zt0E#ILO2*xc}al^Jt*AJ+&lzXK|x`@(cY}h<8hS-yy6e2Z_Q8wubCd83VwVf3J7n* z#zeV&aGv=+cTP$|f9lkHW}_zzHC>jzm&Ws?&wcpj_ex3b%(m;aIP)y`rqAjEh_PC8 zqKiuTfL^FHLseEv)l-CvxPZD?c^ER>1Gpkuc?Modbo531jy_})=d&v2D_ z-*nml1Q#H>wRS1U&1C~+UjP^HE=ipb6C)(A1lA3xwIZWoLI7%#MHPl%B^VkSPOFmx zt&~J5isrw7Z?KswbX;8Q5bLe!>7f8c(5KU0Y61f7j0kEPG(fPA3|X4rNBfR{eqP(l zn?WrB=~tDJrYH{&43)9zOo=AtTQ)G&-UCi#9+!&*9#=Mj{O0DA!7UX6Pho!k44^hR zF)=|Vu7Ivg8fYpe1GLhB=!GMYo<$)Q37BnmIwj%Zc?5L=(9qDjs?R||a6S=HQ3}(A zGASGtpiYdn7VKTZFvA$%x1Qd4K^*W9~yvyy;0?j{Q>wgVrhX3O_xcxCuLv z`Bx#o2U1#hFe+&T#l+0_=+?8l*sUP)nz>x;zF~rrjs_;Qsp%dR3TSI<1Ny?6>gu=L zPOBRmiU&PFp(vb?uQVw#s3Y#-M*3>=us_a zKzh?y2h^~51a<+LcN8m-kq7nZU-$krax98WE6AJZp< z%$7MiIwBz=US3|NG8+aNc-L92077qEVgmq5-q~Syy)w7nSOB$H2g3!xO6q_990y+#(Va`-Vzoz0^}4#LUGCI=;(C%ch14OGLw4Ov2hCK#`Vz> zm)=iEYyH*mH2%NnvQ^3=rc11wr-C0qUMwyy*W}{N@P+qx6d#Ut4gqw503t>NL6%UM zm*W@ywfyv|+h<#%wYA>x!9lciLqwH7U?--h?HwIgfEfiy1bhNm-4$R%|M3D40E5K~ z3edqS1EP-TSXh*llty2^#70DnmFe=4l4dnGbK~G7>a@5_FNt3Ks?aBi z>|0uD>2U$Q0tCVVtv)5-S-%Zn#-@}_V|oAnV5%TGG*r4srDS4qaun#k8;z!oin1)# zS}c_7b>3YcTbY<7Mn#U904Io{ z;TDhU)vx(^=-01-cCx0pxXIWLeg_AK^$_Zej88mB)j|!6jEhlz5Z^*#F!1D6)dTGWwn)Aa=MOm5E=g*(PvRerB9-{{l z`!FJ41yUuI&M;ElqQF5(LBAq_PpSzD3VQJh8gy@AVIfE_0y+6nAP+zB)Ct3jFFhu^WU-r)(sz(T%+MpNJ)YX-z%TwF~+S{zu+ zu-*+ikb(fMqQxw#uD+18@HQGrDbNoXudlDyNJhj!M@L0NBVPU6)*s^8UYSUva0(^Xf`e=%`(@*as`7~4oPI@CZk~EYsS>T3GF|D9{+kQQB^ZE7>GP5ws)LBA^557N*53?X8hFF#F>53hYBiVAA&*Oxm6&%D zie?t{QWm=&G=h=px= zRO$)X=(m-E;VK^(YzL_a#C1fYJ04kZ=3sZC;l!!k&Y>wn-{Gi*Per3_^R!wYET21W zk##6fGcg}#QI6h}ySln}*D=^i`r7nh`a#v9rWv#`piMxkD$jnB^VEg{xTW!jMSpfn zKBuSBkgf#g!n@Ab1w5r50c$0bon5JXbL8QfGJNCZj*N0-060(WhK&B8H9J24vGzj$ zwfvV$+$;RTG|b2Pl9nuk_|5iJECPZO*MYSY4+v=%3?)S~G)&xb^En9<9CC)TZj1Ro zkoqdj6lgby5+Ei<5Asx8$D+j~M~|wr%6mMQZC~-^QdWs$BEt9=zMVEPGfPfL5E4mE zX3>*+Wr@{)orqt=w80wV6pJK##BrV88m6Z?DgSuZsT-=sIQdObo<;kKcKf3gNmbdT zRvCRse!R7Z|7c#V^$|VI@+0}+kgdXNL+5t_39%9H$Ce6Qxr9YJvc9f-gCFJOaHJ5c zys?&&BGKFBFcYa`!(VF(G1pQTx3Kf@P>U7JZuuCHkzF)0=sevTY`Wgb{yU>Y80uvb zti=k=*FR;``ryTwM$%u=|9!2uf{}V3zIZ5 zQ$B8;=P@W8Esw6@END7J(+&2!g_>NA6+SQ!D1HLGht0}u{Mrj*v8~Q&x6IKqQ!}f9 zL6wubSWKMzH0Znx@$wOwaFc}Fry;54E>tR;hS@FeP#Fi#X!=b3`}*jk>=3%^_q7+4 z7TXjD;(kW#K1Np$u!;B${Cq&wn|_-=?J!Ckb)5T7s#Tqe+?P~udBf=C<&$5D&+W+< z2x)%pbU}npijZp-rnlvv#-m=m@@uM>>KmpLmmV%H2C}6w)hwFA!ZO0bVVU_rPO-io z&Dy%7r{{5dC@nWD>yhq{Yw*|RX{7mGy?Jz^8HoB41h=9T#p1USfT=QJ{w{MKCe=V# z9xB%JakX&)U3?sdSb~g1O#nxo%@4UJZYRRmYEYO(AT#sAl|4$gl@4VL+t24^qyX&j zOc!-9jWraVa3#@>yKjI9Or+OY5N8@~*Ds5vY7FhxOUnq@w6r^|Y|ClEFx>?D!UJ_7 zmE_XH3S0JN1iS&4^G&Fw<$@-2Lw@>ZoR5mKP~CR+_Fk`K50|Sv=UH|x53a9{%T%?r z=ouKa<>eD2PajV7RzS%i0**PS!O4$jy7Znc4}TLfGTUK6nZJA5*k=|Bq=$sxk;?Di zBM8C>!i*EnteLB`Ww3o7N#SLVG2lx1xTQ|$h!x2qpmgfF!(f!J9(u_*wkoa%HS1{J z+TH#&W%cBjl7kM8Ze(%XkTQ=DqCyE*O)tIHDBID^CdU5iFX7zgUF~5MS+n0G#yPWr zuG{mH(K6wc>I6Jo6_P7$7#+zkSi+T%iMu{AZVz4UudM8j^7T49NvX=oA-v&DjE&{} zd?UxMdS3EeN(O_?{12<>76~TmF|cnVo0W2N3orG!e2_^t?Hh}-)8HGr_Ophfe~NpE zTRNbK3JSh0it8KC{^*m<%2lWb#h|-wq|^2w(lwTcLb6%YRKVSeY@@kcUqc&QN;^dJ zDZe_h)FB`#p@j2*k#wYY2aAz2?4XN&u%FzF%q#sc?EIp!k1{=YpeSNbZ{a(Yvv#jq zHUvw9l3a1P-f`c_>ab=l)~0PU*2wQ=ZNkn^n9_fjmt5QN`!?>L@%^Q(z1SAB(ntgh zQp%zcZIL9~x651yo~3f%VBV=44wrUv_A}GK6&(rnIllc>buvF9&CWp!ttg|d7}=-y z35Gaj)*>6{V0kHEqauf_C(2^Uy;}J-h0Y-|rbW^0H@T_FNawG7vK_y2US<8ZfKt{) zbmpL+Y?VAnj<1|oOUTcPQjKNYA~N=Pd+Ar^-fIh{Kb()%Eg5ThWfk4L&NbH;4` zGV7h5^Y}t>(7wN%O&W`uw?D?FTOSmLOXCQBMn=vMdh6i2A}I7ccj1)AEq=GWeU7^g zpE$wIR`vMt6}h}e95OTi7`Gz--&cU}@NsYTCojvB#tBCr@Ju}08#Nd|FcK!ziQ8%R zNITz?wG);f8O%(<-8wBg3=_gQe$Tw@sqw@TK&h^CbLFgenQDfg$w->B4_!^f@Sd)0 z{!R6deYR=GJPBP2zAR3TU2#>+W?w1fkN? z{d$c=xV3`?<0}x9X`Hle144V+srcqVt(GX}Uk^cyWh!*MH_~U&kpP0WI81H{dp|mW z^mq@`Z;PvB$#@1oUs0LxbgsTBgMm$0f`qKosg1(j~W?3h9d6a!mmI$3&Vkp=`l9i zH}JW$#}kV#<+UCZgqts*B*X6|egpAf%n=qWL=x}{qOIhjnv&B+n>_3Kxn6ioOOZcA z4%?O2vcn6C`z=zSkeCwrbx|CehuXX0PjBZcyIme`#L;?b-n|nrcmbjN;w|%wRmvY! za9$AYR%x%Fe1ykB6gy4=jNc@`cRE3qQX+l4=O)fZ7AN`M{xMIV>#|m})>60Xjv)XD z+Wonty%&46xnM}I+P_U(ykI|`Ro(qk<31?SxFg6IZpO#xM@eUxLQ^7;v zI~hUxo)4z_Z^%%NIw3ik-2gmEj3jbbP;|@%?Wav->R!Z$XU(UUr>vJY0uUZFoqmYH z!NJ}f5GUTN9B<}`047rQnci&=$&I(IBncNaC?WtIM7|0{^Kbmol{L{L#faphVgZZ3 zudpz(`rmef@MPsaE*rESjQkXq2x3XANTrxOi*z&z>c8XqiDtokdN2`80|S^;Yc>ra zW>GrgfXo8nk--qYATwE3r9&E2%oge+c45sjzHinQ46}uWN#yeh@^7C0+X`m#u;pc> z4F*73M8(JNoH~IpBCM(`{||_pn$};`eX-kVe1wzMi;!ff?$%RdxK$Ej6(p$iza`0(qn{^JyNDcpQ`nx(r>F-Y6#r%!dE_FXnFFeA=f3SVuE)J zhCPuZ^-vOm9Ie&l(7h*JUJ+O;>JiCW?xnNg9(z2omZcLRMUv++-n=iu`$ErS!;rqq zLBnF)`1T;LnYi`wXJiA)`yQVV4!5zrUhQmMAazT{yf5W&g%?#km!}{oxHLcD)w}UH zhT56{cXecljEud>s)s5gAAmm1W@QAd7+(vmR(May|8B)6O?r&jARugS1#(8u z+%9(H`)V|EQ3C?+eDa%#b~=IymF6<<&pIbtSQ`hPCQx$rCSpFNv$jS$>ckRix1`$)Hsdra|K@F>Ufj`dZ5syo?@f!CH^PHrrX=lSk9 zVk7qSv^gle2-caat;^q$FxXS3AwjJv!Nk^Mi_UW|bs9}YMpT!Jou6Q3Nowp9pl8RQk z%gSw*qB7YHN9T%=qO8bM)J%ICk391t?h4D@)~M3k2+ftgb{!e-bTyUJ@Rs?8ik{us zU#)iJvR2kh@TL<5ba+eawvAYRg3Zavp_IMD!oVQ*0igt$vHK@-sI{gSDV{9jC|NW3S#h?w${f zZo9s7#dd*zkDuFFn)R8G4dY9??g4Lhw2mszMg^gaO3W#7f}P$XesVwYt*_A+q>hWGYBtluyAWrMNM%3efYwoYlcYJ9fa{8L zBt=3pQQ9dPHP-X?Dv)19X;GG`Y`Z2%A3Pjdy#t?8Enc zpEq&K8f=h{i(BVx>(<@)Dsnbjcl(?#n{eXQ%u({BPT|geKr(x&7Vm04s11NDh-|=I z@ZLaOcYnQol+4+i&f3AZ*Wp?BrtvIXD$(JgJ5MMYb4yF>kZmvfEDCu=oX5bL%*B4d zY~so-SUdhGk0)$t>bhe{1>#S0}G(gU&Fy7*^_6`J`8><7^9pi8j`^xB=U~d*Xgc1h$H2Cb zOEpFg<(E^vF3+zb@lroTJQXD^lU647C-JS`wrHsD`Us5|yHh!$d8N5kWy&l^ z`3ZJLnwlF9XS691M~tR3*>B1iD0V!J;q~m^?#$^%ehiQ!V3cUO828pfd3IJh84Ro1 z4z=v)Ba#tMsiZuTe7>y2v9l0<;lccit!`A}}gaG-vFj6Sv8DzF=p`l;~eN0`%e zQRp1AQLWD_;(kP%jV`p8O0G6{Qn?&h*#inPPIC;h1PwICC5fEiWqlZaJ|3A2Y3%9! z=;}%sc$GVXr-X|arJ-KpRQceJGaR|GdZ`*RhVz_f<|vFQnBgBS*?T66WP=xL9>1c- z@h54J3SN(tl3mX90U(uR)b|~K1ulZKy}9Nx7z4dOk`vr4;HK0Rl11EHcyj% z@_WnX!ym-lAKr>67-DS6vzPwnc3yW;P~gjIeU0?nkU0^tnErXM<3lisZX`NkjV*|k zer7j8XyyI8hLWm4u-@I{)!o(M0-a{9-SKiN(I27PhzMl--m+|!hoa?seO=}hqbI9~ zI^NeHqRUoBQZ6r=L!&EnthR$^%UY4 zYy{}MamATMUKlOZ=){OV5hrcYtpzrgl-!7$d~97XD{XEJE{#j}^<_7_37E$(O|&qZ4lI z=RV2H%PU=|_kRXIkR0Rv47@LmuCVa<>5*=YVohxk?<|H07p6?yREIX=>zuk7 z3RgU6XHGJ+I?DF}6D&VXF_r0PSF^RC#{Ju5uZg)2b9*f^i?WGUM1_{#GgQYNWjXQ!7! zIvJmo45vd^S%otZ08?0%^^+q1Ou)x{MagK4_V+AgyzRuDmQ75;&FywDQ?j+S<;Kg$ z!{fd;kpt+m(?Jd)W$yj;?`5VwyH743i_PCpcXg7ycMI%;8j< zFU-41bI}qZC3C^s!ArbXrxx4pu{!&Jnw%1;N`W5NA`Zuk97i{~Bo`_yMsvQ~JoS4( zLvp6J+UnVS)-Rx%If&N7#`JM~HkDwQg^$bxyD4=tF8bLnB=P7@){Q<8`LoG_%$V%T z#cW`g7H=q(hh9%=g5;=~LwM}b7c-%ihMN>!qwZFcul8w@bR0`xN-HzMM-wWU&U94t zgbmeo<`JJ+bTp{npn$j|JE^?1=2UiCONk!)88fhCAEn{YkxIQUJ1a+&{InQ0P@6OGA+C*=A z$e=+gCLr@)lMvjgFA@nkES*(e*>n05YILgR^4_hEBkstSaqRe)(NF6|{o~~df_8_MaU(oyr<& zd;+XBZ1MDzQ+;#46cotBb?Tke1qEBalLNS3eN$5qpF1$?M@Oa1%*=@IUL0(0rrOL? z@*MVubM^a3TBGHSlzc#t+B)B`eQM@3Uto)O&^6tg9zVGIy{B)nL@#~5E)8fxK|1iH z<#`e$k+^wz-x625T*Ar&jTjn8o~8IiMtmfdQUu*anL@ zG>8=Q+2HW++*?`6w;~kmd({TFY`VD}OzOD-flNK)s$ZhHo(S+6Om8jQ%6)XB;o`K^ zYdDxy6+J_ZxIdeIuv9ZD>-aYM;qR<`52T<$^0*e{tG72d0r(O>J~lST#AV&b`pA;r z#)caP1wwkDtiRpB|F`?uMX;xD|JJ{Tr4A>vgOoNib_f7W*mC?X)o#2vwlet4{gI!) zbxkqs1%!qZwHkZBqHhG+-zX6amdER>k3b$-sr;>-NA>+lC^^B@FAhn^#XD9^#uB1G z?EzQy1&G6v<#0JH1&SZ8qVWIwFL3?~Rzs8P2>CqLKg^_d-|?QepQOKjSaS)J`^|n> z_JMTz$34fN&wf0Q`wB||`SOQh4uBdFaCw}~UnbAb&sXh?=r(*VNYY5Q;-;oxH@MCj zhntux60?#$KC$#CnEv7a59Iou-FjaO1URJQxaLR5a{x`L&i%b*wHy9n6Ce70s*0!bNk)C&ag0 z5$5h@HElHa-0UZItEcsmRIdBGBgGiBPg4u9`g9Mnzvt^s4z@k(BFS#2V}F1^y_gTO z_QU4BqzQo`rWV$JU2)?K!V%b5o~N4y-vAWy4bCDVsV;F)xbX`Sco#?22Aj2rs(XRa z)95;jIlJR09(8pcaNG$6Vw3$xOoLW|+4(k=EeG=rj7|vu-SJ^vsl2ihu#K$D&dv3P z5lkBob_y{d(|xRl7#9$NM@G%3`eZ$h7ys;T{JUP9lXD)-7)x{NzP%cb8w5zgKX>^- zJ~?xEHh2(`b_Gcf34tgLC42iiNQ{}NT{oytI5S>3x*dtZ_=Wd~Cfg_p`Q_ykk7q-u zHn4s4bdM#n_Z>d}>PP6_A(_LOB!uaxI=P{I^UL+xx0pU#Zqa8(Ms2~DL!9?~Ka}BXt z6u472W?BZHaRZ0LFhOLei&gg}1@3B3zus(=HzR^U_#8z!$XJhGk11Fjm81Vl5*X8`@X+W`s%du z_kj>&=!?zPh+bps8!Gp|QXlUwLxaNbc)Q^9B)1HG>1Xz3-+u^h!6Hr)68lC)oaqY_ zOCrykc?+4r{i`}Jwr05fdv0#-t9$ILFU*PdZ)4W6l*>2kAq8M|sKk^pV-)RW&HgU; zKd;7B$n)Yo+uN^Ki_Qo;M2!gYISyGEgK+6-AJF`E;mBM<^Hl=|1TxtJ=mqUQkl0=y zC!DWdjr6Cr0BSE>BzzX5Bf<8~b{!{YucxW1t zZaZ#HN#W+wJYXPD&dp-7I=+3u)GI0;td_lem17(Es+$kY5#PC%r3Jn!<_#wQ>}@csLyCHFfQ5l&ovg zOi30==0Fh(0vvKxYJ`Dwx;xRO$y#KmC=1Kf!3SE3N%#Gc@&e?)+`ivdUPgcSG=?VQ zZO)HGV}>o>=2g;(IA85XDlFb>eK@hR3N5Ytr8@n+Q%}?fYPy2oNoXoO)axWCeJ6w~ z`q2?7y3@n1OSnu{D#fjT>xLIThj9J{0|^N=7nkd0;WLnFsf`$W3}R#}Q7X666^snP zwQN|Oq{fByc|a4LC+$EJiUl|pB5Hwvl6k%L2fk;m$|=~+*312NyMOryI)q?;F_+Pcs{I?r@ZTaxWlt~8CH-q1<*P>||*;PlHj60NOC}*9P7+9n;1M`=um!JQqxg+|K1b;z=7J*0g-;_?2Fuatp(VR_7NN z11Q*S)08+f%Ii&^zXMK3j!`_pS}o!FgN)LEnUO5tP&A|iAfKa983LHEl4n;?E{YU8 zG}x#lm)V|Ix_}T;8Gbe?&5&nm93VeT6P%2R=t*qjZfQ5X@YCFL&-=Nb@M)~eE+Ip& z3}X&LrvSih>{Fo0_>f zt$;D0rbGTyQbb3BLB`7l5!B=-k%o%Cdcfz5Vjh>W+%Lz>D? zX?#f^j~!}pggT2cPV0URzK-tqQ}KL5xUQbv)#xg3t0<-MdlUh&1Z%g4uHbF|E9ZP= zu7bPp>0%a^y%7+Nb50I59yoRiahPR_3*!w}xJ`8(ZLr=!{Oll9jofP;DF&%)3*pAa zo6cu4ku9GEV2G@yK=~24O~`1V<&0H-~W+hc{|&x+nYZWA{8SSqnxN&(GrnFmaPd?{^qPEz40LT^QaBqe@8R2s_W# z4QpYSvP?R9n_-zC1TOn9Xs@cW*jaoUioHP_L{wm7;5ey2JBNcy@5KtcbIn^>%M#r# z=zY9&6sm<8XAv~~Haio28b8weHmTI!?4VMS!M6qgo#%Xit`%M5Un6la#}e#qBx}hZ zsI$yB^X(wlXgB4eaq{2o*;B%m)cI?-;yW^#&!S4wv8iO?s@w?@xT1IY@NESjcTZ$x zuI$etsg^C9&x{RDtt)io?^fX?&c$5~>U_!E=mj!XHcmYk1>axfJ*lCV+dQf#ku+#w zg4Cs3Q%DGczP>&mAK&RZ5MYp!mVVF7OvDU2J&9HP?wa^iUq$;N0&T{nYT*5f3kC1? zXSbp5`t~-&xs@2WnFZ<_=hD6-Zrha^^`&66ho{rCuh^z+*0+J1B!x3AfevrzMM|(? zi1|gd?EJRt6Ti$)a1bwyTgGMWPxisjt!o-KKB)hyEC6B&8dX-~5p3&h`NhA!^_rqR zl^^7`wQ~p-&j3`ukS01R3Seemy?hCZrQnFc`F#v1f;fc+ zLXx`+b$14#Vyi6hu!@M)nNH?j`fEy!vp=p-`7hiUL7{x6+Fcl#s7v)V*7F#cTasj> z(=PJ(Y4nlqHl(;Pgd>$acqNWenRSbotWGNDO2SFpArhtl1Qka+WpW4Se}DbmbDv-m z09OeoH2T=QC=)b0W0Vd(C&6Enz)9S2ad28xTrbKn8$~e5nFRRgiIH1(;tDlmgMIP*AP_v=DAWayz!8dN zP$`06DOId|0GXN4Mqs`7`IV~GYk9W3Qbfkw&++5*q~Y4l31>1a-+c9UtC(b&#J`Zz zG)F5-0fkFsfuGk5=kMlPZPxLuRO;sNhRdE?c9FIlJt^@9)?kSJQj+kiCe>C5R0ix< zWZ+kLJQ;-GXhCG~@%$RStcG?V>|v!5^0BS1cz-3yJK9R1d9f20C3=1&6EjMxEDTGU z-3~i%DWKpvBtZfmUV(&tM6;^3kLlX{0k4N$0nB0wfi z531OPhf9i!5l8^$>jzG*S9+s&B{s*oKH<15fvD$0d*tPn?uJM0i`%35)+K&eS)HAD zP$JrZG@26BzvggMIzW~kYp{DgYGZmx&}YiND0RLS$+M#yGpS^vadY&et`Spkinq2y z%tWnpajnGNxqpa2btMvpICq9>X9VZ|3DNyT<|Cv*iGW3alq!7Z1W-(>X>aLCEk zF~iodRR$a0vku|2JTJ6aUwf;>v2mt9mwohRP^{|s#y|n#QF3u%2XMGRJ3R?uiBpvj0wBMEfY}uyOBA5L|4_rvm+^EMA zr>iuDKoo6g0q3GCm(jgfTQi%Bl`dnmz2?0=-szI7YQu4Vfsm9=vW&aMHjcXo^ibGH zOPU2f-@3_*>B78?6&i~LX6%?lx7l92TxXwrs{TIXtG&E#Df1KM4vfOoZkeW9U70t= zjcGldxCHS@GP}D2s#w?N+Rr5EvKuKgciX{O|Hsx_M`gJ^VZ$gQ0xBS)NGc#8jdZtw zba%IOcL<6gCEcM&cQ=TXNK1EvbV=7Y==r_td)IoOKhDx45BI(Io;`c^%v{%X&+PrP zKPS~#Ilct7+ZuN2ZqadMr4?P-Z)38@zHzX-WF{VpNxSVWgoIhYu$&*P4j;SO_;pzI zRtmRAUj9V7Y1_3Kg3b9_9i|w8ZqV-T?w!vdJ3^=3P-mDpI96)R4Xnnfs4amo*;Cba z7fUA(L91uLA0MI(~ z498FQA<~T-Ghf>B(~gaLt*$C^D&5CxG#hsB@9!rxyKa-4)uToI(cvP%=`t#1$7f0C zUbbe#hiKjqg8Po2WCZqe;=H|2oXF0$3CWJIlCi5@7RGOGUx^7QA3faHySMscd{8mt ze7*Ro{&+tPNz{&&zq5Z3V$d@J**HDPGq2$^AgA+xODr=*-lZ z9NB+u#1zF;>q3YfrM)*IBR3e^E25UFD&W@aV~Q6qY;0}S>YR(&&Z@?No<_YiP++0w1f82a-#D`9x2Yyub% zFay%b?!OuJjf@-t@q>i)-fv?9khxHV0c{6bYU;bs9%5q;0VJfaudhJ!GYSE03X}fW zO|oPTPR#ioUu(C*kf5t6DO@$A8^_T7{Us^|QI3)vyimLyvaZ z&H28`1wUOCf@Zp*6jan(KAW75DO^ju_P;U6MclYGH38B3tK`?pDqVp_?eZFr1aOl$h( zm=h`}7wsu%7J2z(5<7X7k#o&{uf@f$7_4MfM7=+L{K-0uVib)`^9^7mfSg*G=Q%f) z0HlzhfBx~;M^?uM+UJR?xKiV4U{|K_c#yiJ=H{*g{?^Nc zkRl^H+Xe7r09p)qjP>9F#9jcVxTpMg4M{G7`N@u!tZ%r9{ zC)JB4sV45 z-y>JLu|_B5P{OHJvsj6YsPyVES)#`=3>IpYER1;1wX7_mtAUrNP^(eNYT}U(nkN-( zTUuIL02Mx@!^OdQ_wF5_N4J!R+6M=j7#RASn)oOA?ZE*68t(qxyXFHK_cZ?YzD783SDxt;r>Y9bpBQR*#%!sjWWKuN z$o6o$_)6@kiaMUzE-oPHjC)$32h=lh^zSD_qZusJ=5S~bkaK{qx;rh7g+a71hbS5>GMAG-=XLsJ1|so12wYc~7~tKaEzSj#||` zGd=2H4O>HWXUbvq7m^P`430YzPm0$vNyoRp4)nNtXqwW00Y@x6To~JM$!U8gz&I`@ zVj(IrlKN^U=fce?;A$Ms))_?4@Zh1raRcMVw?BNgEuolr=Q9L9%>BI&eEZWx&;bHR zhW8CHm4B-n3fs}Jl7QnS;<==x1nANw%FsZ6Kc=?c_4@<%R*v7n;h-xoFT~Y#UbsHQ zHNYvnB@n`HEv7O;sc@yxj=QA^84XKDL5;RUf3!@8)^<-7j+5^t+Acn1K1-&AXmZ49J; z&wG`qY}HYy-59Mj46ZQWM-7tQ)7fvV-|!$b#b zovc270S|&FXZ!l~YaSZ%%cS^twbvAYCQ~d?0~F|fdSnW>n^KR0>**g;%#5dFB^VKf zm5WQA>mU89{K_wrA<9gfPmGTrm#SnGmgPvQxd7$>#n3N#1(LD~tQUm6$=p<_OL#cJ zZCXu!ks6AyT;HSCGkpExVq;&IEX6SzOQvi^Y0J#Bd&;3DhvXhASpS&i-`#yo8pvI< z?k1U{xBa_h-Ya*xTf5BStS4Q(?zxwwxZCjO=1c}7cxOY;Ve@fV>ITlYe4hYj>UDJq ze$r~Z4CUrc1d|LU*lsI>)lV$b@DAMKJF^sXm4?*!J`eQkZ-@}x`{-E8%uD!i$z0!& zlJsX&8}(DB@uoH|3hJN3Keb*n0YX80zmEeL|=tBG~KZqC$Ym!#mLYot0jxZpl8T|K5x0kWw(CppPGO z4x9vEOI5ij%Z*2=AGK9;iU07@!b%ws|tmdJg zw0^8<)$xEya;7Sd&E{7mT{#1Uo34;rr#WDhb3^WbCU`sl!q@|vIho7R(^{RKtKwpD zv>Rh)E6&4ZO92LX1gBThJ3M92|-hBAG{l2|rGB$O>dmj(&%h)jSx!d}G@sf^rH|^P* zM3yEQT02Lk%gB{?A2}sq&B%p%aZ-VGy_w%Q zqehj0gMfQ#%C^y~ET*<~E23Y^(#Q?5hq-8xF6kg>yzy);yPWNoKCW z3`0SPh*UC66sO&88___U*f8h##O+(}nd*A>$;Un<*O%!X#$q)YuN~L#TNfP%tj${?K}$_oTj9pBVXBCE&eg?bW?i&94^{auYDZAA)m;Y^q*%c~k%K4FD zqHXc@^<Vqzfq#H;z~WlpsQVD@SUI@$Q}tA?vcz{5UVs$h2S=9hI`As49y-VkY8W zKYxLJeVNPmfg#q^F7L}9&MELBQ{U4i zS4Tl%Fereq7^MRlhzk&7*l8-}Ueppf#-~t7MO?$QdIH*Bx1M z6nFYQlPH$*H2R)#It)(L^^}+fl>XDWGsu0;}o2Im@J#G{Ii<5T5ydy8<=DiHY-} zvr(S!SlBm{(9p5jj#(39b-Sxi6v$?!--;;Q%=TxCMDfG}i*`iC(E_uwZkMCFR>u+C z35r`bvSDH~D#;znE*eFl9ln9du%MZTNTS!ysgCrnUBbSYgAkW-sC!RFCJ5~8(r*a% z;$@o>zqoj3x7+hXg$AdO9fwoELBMcpWLT<{S>K=?l~R!e^i*(xDW0 zGE1rHnV_HfRE;5|YUXFQXBq|Xq^EP++1eJ2Q-c1=be7ZJ97($ms%r)saX#{;hg|1_$y z;6^i-e$l7Ygm|NW0sj>d?5^Ra4U@hn=x(J8{IUg(MwtR%k}SFr1=0xex)B9QEb_Yq zzo+HpQ-3G^LQUO;E4Oz!P&_b2eIL19!nT|X6PIzim6D#gZJ>jLl+rP;)WO;yD6_QO z%em{8ac5f7N=B6${#|IRF*-C;N2=W9e8XOLl`OyVD*kZFW2ees22tb!`DnX-Wsto# zj113-AUuy36JxTsNThk)o%mRy-sReiBVWj~Y;pC$V1koXQNHi=rCY zn)a$PAA2cAh(my_>Yx+pCnIY?(m{I9^@&vS%ZuTp+68in@W|>f4F=_}Pj zVSme(-fiJ}#d`W*SYcZOCy;LQtEI(dvAu7Q2{5Z1`4kgj=4{GarfB^oVytPvxQ#vD z1BaJvN03PNG0Z@Q#(cW!#UV0UT=xpo~Hc0zFuoRy!fgdb=dm& zM{!WzMh>IOt=q{wiz^eOwn}*_&tB+)0uLVR>5f5_*Tq2$X|0{3qlGgHq<MvjzOINo5;e{$=9py8*vZ?(P@;KjPCSjOQ!_x^CjJn_c+C2$;l!br>vT}>blk5 zk1UM(-NrJ61f)IB(uJG(5>m`m>|$98Q+{au*qu$oz6)6X!@nphonNPDz;8 z^CbPf;Y^NpRb)pPqVx>YSd$=+q&LydrU)gFV|`iY!0T{}llo(BAmYxLKn(5Zx0|EP zm_Mv6Oxj}`Z%@DRyKeQ~B$Y~9dB0${*UUDrd8%o5 zS`c1|N2rz8fVAgPXrcIwCifpyC8wQF=pS+yH#cc|tK`BXh?@oBc%P}rwrbQQ?j5^% z?lJLu{T?CLj*U@G-4c=4q|DJHt&`)nM{7Q3ZQKe93V`%vH$Lo2oSZzba}Q7$A8HYj zmtTnD%+1YRP{z5Aa_fv)*enxUA%_ZW;up>6ymf+vqC_sY`{7=G@eIW-Q<~>tJbkCj z-dxdfoYb)A+%91VI7%DK!{Med8`?v8fzTHA2}zXDj}Eac&vPv6eSmt211Fko#v#Yc zU|wP+`?D;HWe7`uG0J^M7KUP*kP3O<=Cq6n$wRcjUF+B~UN*;yh@q`-RenkQWv@El z78{SaGdk^CA)DbZ$t-p_h%aw);V87b@GQ|3UcPyy>54QpIXPXeEJOMrJID0LI%gXTW+IvYnk2Iq2$Ta*O2y_s=d^yfb>OP2uLrUtEfbZ0s3g+~qe(Jk|o0V!iLTa%KE!|Ob4<6 z)-oHI1}<;s*f=ZK^g#bOFPEjCuY-Q@2Fi~)MYUib+ydae+MWDZhmq_14N!K`=AAg!v?^ElGwZf*lbx-Q} z=$t``M|YRDLg^B7cff)i>D9U0C5~Bc%>gS}k8K$jPCR|{^iMjjo{l!)ad3<;SG0Ei z6WI|B!#$MGzezl=VV-~gLz@eS$|jmr?52rq`%5gvhahQMm0=HZA!pWHVGHna4yw&ip?^LBtHD%rkf4hF- z?*eyHir1wnG%iimIx;YCwwoK2G(odHbZLIs9mJl*j%4fY(37_QZY~lCj*pQb@4ny9 zZJe?{XrWHUrKtY?cJVAPC|>s1jDPJTv8j+>8*Hv%q}Q!TYi*cycH-=Wardx10t-Hr zr#=_Z-^f%s_G@F4DrdvTU4LU%%*$q(;k&ez`i?yW3$H?%p7`a@x|z&ILVqU4i$9_Y zWpzuxV{z;l2ec1G?$Tc{ky4kOVgd4BrezKXxblnLz-7-(T+xg){$km0Fp_Zp? z@AL(6+I)LZ!tbRehx6n9-j&{bH?+bU@uC8nI?Tt7_Sc4-${-`BQ0KmipVC_>%PUh@ zzBNR(a#ec~JhQ<&UGD>+)KTonN%OOo3;O~>j3GPb9ZgP#0Q_nGGc66D4d5gJg zdH>qkU+?I&2Wz8yJ>TBFw~RQt-P&ze%!BiQbD-nYS~Rb=`D^nt21`e!4+46|qpDlz zA^T;Oqu4mC?KblTH3z7kb#6#dFRwUjp|&obbYweSj~n>%ML%OqA~+y`nU(R((5vO< zpIGde9j?PoEP+CggC|8Qx%* zNPxVb)Al5Xy$)SqYfj3e>EGq`J_4UV^{$}Z`mUzcwX(nB&Li1Ai{8zmi~5@V_yP&U ziY^W(tlIv55Rvbb5{HQzoLBDbShpY}tUbeXH*7en*(~=ey>hPi;q-m5!l}N2`dX*W zzsIqR3nP3d&Ufi^pR<#jc#5?VOMlgBh0-49?ad6eq2eVMDJ-YkZC*yaHqtVachv(g znu=)$$O!L*#91=#@>%Dtmj$@_>Tz$7ZQTz_*reYL{r0Xmi3UvKQwL()MJ3_KmyDZ_ zu?Yqm8`&d}Uru=K2QqFSnK~N`&NlgaO+NXf#OQzN$@U$(n4!K2x1e$^saX2YyMIt0 zgw@oX`p@|iUAT-)b-&==oW85vE{Qh+6mO^IpB)P=P0p-po$KHnpiQCc8yd2)kIg7N z`uHM2Zz05FRe>UlOG$Ax^ZS%qGHU=iVW74yI`#8bw1*KR~oW?SQ57Hjc`P6>rUV?mDcGp&lH0xF=kiWMERJo^z9F_+BqS{75w1V zr?h($R4ODr>gWWj7g1-YGv{Vy70s-ULot@ToA*6GL@QgKPqp?~wvKm;Hzw}aw5NE@ zT@x2DBkSorANsQ$x&y(DyD+wbV%Z2LoAc?wS6S z8&acn@hJ(tZgNEH^|qFZJ`;Fg%%MhjC-~?b&V|c4o1TF@AL0dk^R+>$D$YfUh^cOe z#40L!-HeNOQ*UjO9kE~wxs6JVV&NRcO}*26yIV>?wsrTl&Y}5^R#W^~TFeUE&%BNu zhqq?+hbp})bxz?vF+!76%e!$rQBgNnOGh`^aX40=f7tFX|6+TR>h}g44f`tUz6qy= zZKovCk_xpFFb-**#LOb26Gq3x1mWhU@yWMD$!^#_tJTO-eR$DhC9I`N`24RP_bj)? zRE@B_(qyS|Z>5L(f`=Xy$oh4ofXYe!gW`CaNT^acF%}ka}#pwfa1rff}ByN?*B| zGP8{?1dBP_CvDMin;3zlEK9=0&vqcweN*~c>!ix_B4NfZSb6pLZ&Gq{GZPaNS(}4R zxIv3cNh!(7nm&J#qo1gKRPe^j{fhHK?T!+9`x3eUt07m-RSow!qTnBU2O8?Ok>P9LAx2{*U7lK%Z&i$YB^D{2^6`Q5zztNMx6#P ziS>$A$czYzfkV~>}xIH_)In=oK#A#EplT`xY_~{dQ8luXpiq&%FaMjVv@}z^; zGpv75jf__&YaHBK{68~S>^s*jwD;D!ILcs1Mk*xhN7Wm|C>M9;vKex1CSoLtUwd@~ z@3y=O1sUo`M29G`MvP01*mpyPghMI^hXxd6bfm){y(|5htFolHu}4WfZ}85!W|ZU~ zDHDR^OY9*3pyAw3 zzgjO>d_l0BXbL*nE^T_|X}4nU5^t%rClGl+pFb@HU3pFe5EZ^Y`w)kz5JzorTK|~rvd>rv0^=MCU&IzUICAu<&P3^xVOPPTw$X;&Z^Ogq(FJAE;Vx?FU2; zLq};z97np~heHTEkDh9_C0{3SgO;;H!9`-vFoZYlq6th2NqnRmtqxKk^f3GBr zFf84m;XrT4z`|7b*If66d+1D8Hfcq42hK=gU)*qX7S^{Be60yXmU^POU0a)Oaz< zA9L1qBYB|1h=PjBZvTUoj#rzXziBk96C#_Ni0(D^Tjxq5lG3#wg02g-0Q4YWmt8pD zmFL|OBdGqZee!I3*(gR8IR&Gfr93_>!DHD#ZuE9$tzq%!lp~ohHCrb~JWifd#5fG@ z1}V9j+tc?{c|@}1H;%Y-nPpwpjbnNbjWI`@eO7Rif?_|(b3UG?;rg`GtRs23sjX?W z;UUqoMQ;*b?P)liAJlHhG}zVSI6Fr&(&^6;WQ$W!_|-7O!>c`LM(Xj4!&nZtvKvv0 z=W({I^(sMFce}~34ct$~W~6yn&n`udk{l<>G>J#pk) z|Iy(IHyN?7i>|ErSx%9^!Go~Kv_7*r)}_ZG8q&|ZZ|XXgS7?UtX?Y!BKC{sjAn28XCjQ|c@fyQ4^5djmeS<~N2F)?+x>t~+Pa zBKwQl$j_Im>23*qHJVgU5f`HLOL2TkOz9!_^!#Xjy)5&sD!C%DocWQM2rZ@}msdxO zqS>>RYH$DFm!T|ax9<}&yzw+g!4$J2dUU6=)b2#+>$q8L80vs&(>z7p%Vv4z3%!%C zJs%BY91B%nwhuXe9sBmetoPyU84Z)`RVq!TN*~Jp}ShH(}w^XG0-@#Xw zx}l7Xq(s$cy(|ZZ7E!C8y<<*N`MAokls|cp$M}nurX|Y-D~}lVCaU+|VyU52q}$@c z!jjE(%vV&oGJQK_*Q8W;3WIs2$A+ZZ}IVoog z9V*LZ8!VR4OuOheMFi#jS-(NWn&gbJ)4p3xGBuuNh4Bfs!7p!qc#FvDp7vorAs+d) z-%Ul5`#pcy_@sgG^5n`~j)<+jb!X$Vu_>OW@f2Z3gvYYbeN2ZGhEnP7C#Yr<``ZPL z?pM7@wj%b_HMScJnB{RSX`z(ddBfi|Mna6yc|5G0r5}1pJYM?glZkGn;mNZnvw;!( zc7L)qaqNiAt#Y7D{n7I2_rzMdu8P|(2Xb=5+o@77mX^p_>D0$Eg99#nJd2Y%x1F|T zYVFkTi7hYK63g}V%eFn%FxkM}!o@bY?Y{33KQO%HJJ|o?n!}~;w(^UfhiQNn@eMezHg7?wYpi!eF=FueHr0-ECXOa$FJZ zNAA||^G(-{MbNh=%O`kuU+GRT9FmMvVq|-kkp8eLU+|WqWLKU~OY6-jg`(3eM%bIi z_cLmExtLc?{YCUC$M&BcLmO&Z#fmT8JZGwTpNXffXZ1Rr(`zBmSbr`yOV#4v-H(l$ z!DpVS8p$qwAay{X=w zHo4J>T@3S3N;oQ2uD^d;UY8-JjXHXKsY_pCccDpQn*MV2T+xbO{6tg`e&urx%iIb> z`A-B=)J*K#6I2u!cV0;+y2qr4cPP;q+88>xDW1G9r_$TkCe+@Z+gK}M+IwO&aeYR5 zhf1k=(k&kys7vn$WKH}op!B~}W>C0=&1JXj?mo{T#w?fpr!iOe*`+Ll4MFXZjDigQ zFRd$QCECiooXWO@0r3Nd+U?BxL)FN?NNVrUFw{rdyj3*wn5OonsueCZ&mLpmfI~5u zkb7R%)h{E%uQbAAv+xKV)#1X@f&4&8*e3@ynST4Zwqn=nm$Y-9Z80rxLiT6yZV2w* z3L6NFU#0g7;UoBKvGPT>>Qz^BWPY8l3rm#i474K6R6xz;dBXLz)KASwj6IY*FAGDf zo5FKJ_Pr_cvUCEA%g@1G`9}m*oe^_?#dP7ocBT{+dh;e{f2C)<^2*xObZq@_cNe|F z_Q*UpgECw+1v_fjS%6Ub*jUPxKd$I7?MutQZ}RC&AO-yRk=41QD|ooUz4Oy}9}N`< znwj3jYZ?bDJxP~{Nlo=3&zeQ?=`~geFcaTqQ`6*lW9_d(!SGL1sIN+npE!PN<5P07 z&B@Q9D;{k82P{90;*%?)E5h~EJQ)U_|5!kwa{k_VWY->4>AFySMagirq!JnXkLcS( zC_5FT1RkR;Tg>c!P&qI3L6^&BqF|b|^~+5DXshHRY+9ZAML=cOiA5zkYCJ8W11}`# z!T$9`A|M1aVv~OHyx?H~67oG!`-5P}SM}x&n$0}R%_Ck_^*o^E&sIQIqgJ$4x$z(Ej%>RM;DDfcJQu?kT?sX-awIS9X-E;~^OmIG#+j8i3k9 z95xW%r8P>+C(s~BF?F={GdQXyY3T`?!H~9S;|39J~BF*6(U*8)V{%GmYq-59iq8a%vIB?`{58j z@gE1Ux9i@cdLN)-R#X%)Qhka+N=69P;k&4)wzl@r@rVN?>+1N?X*v0A&*bQF^!3AI z5@}ij{tdt+GF!*f6Y!N}1J9i|Mp1w``?c2d51jH@+0x?853BMTZKt0z32Z>B-o{n02)k_fg=^WzUn`f`F*6QQ@=$tj@Z7 zf2&0Z-nXTYL_(8dlaiXLp@@`8rv3cO`bflm!abC`JGvFdJUwfJ)t!*0@Y>NjxG$o} zppF^lJ^SyX!xXPjR99D5Riz>>9_X(^JzTy2czyw^P@}%Wp|kguW)1yW-j#0mTR|SG zXqwf*>;+^m>8$@W!DME#b?%*^o*@U1205*lal^!E^C-n<}>hCi5ew(D(ix!B^2NIIEEQmpz#n5bIia1!%CT4S|pFn6J5BZK8H12L*@ zzb*C>j{O(;IkKZTdz6aRsTiR_)RK6HfY$jspASW=ji^8ghHmv>eNjAsf} zo#y73aBDT|J@vig#_R~SUvC(v`=C8}-k2n+U3Ya_s5_FV$t!4mJrnW8F#W$D+%mDu zeu{aIkjdMBaSRk4MjIBK0)&WXKBvy63lUr7XUkGxC@I{bbpDQ-?A?ylK)uj@i*Ri! z7uo0EPUvfq*r^WA~hO)yrpw+`0Cbe2jjk`UvqB(4?WHq^Q_R z`c?u!S9yO%$azT^PhR~@%ey*Y-kuSuJ;152P-7oUq_la3?Pa4nm&%X$=imTS1Ad41 zauPD}eS~gCZ1>ZRCj(Rzy|-)aS0#kp8@{ctKfmXD>uK;X z$9zuDlv`GI4)Nc#O*h^t;*EG?N)@Q#tEiAl-weotH-x_k{b@cWTIo&zwH*ZFwekFR zAEZDeLy=w$l?}KX{?iCZK`pi4VPIrTSeYLMO`jtd>0`8OpdgH{`Kym~%H_~=ikX*2 ze<+AQ21+1>X~>D|c4$?++= zj>MSUVp(pdin&=Z1gtrb_+R(df!oHCH8f2B_>nRo#af|DC1?0o&dvE1EM9FjS#Oi; zZDq5u5+IbnRE;sZD*x6?&fgHE{IrXPpXu5l)$ad6zR6@- zxQX4>-I3qG;dwslrDUL3&F)ka_q$_Hx#&GoOYh^wXu><)mgza~yssYsFXjnf0P3u8 zIw}f^AYAtI6bYHrz4>$l( z4c1pcY5GxTjHKilh+kaoCz7u0wVvI_I`B_PIonxkY4zGuaJ3q(a%tI_1N|(}+WLNt zm+jk_bL96X+l0ym$oA|RG7flNxrU^)6;iB^eWpCpZTekE7>}MXySz4Bo{JLGDa?00 z#81dK`LLvr?s3>VpZlOTW)M{{(X@!sVZF>%_1ff9bb2DnWed<1t;n4_3&s= zuiZ{^_UvpEBAs^iPwL!qx!lXa`h*t|-3rTj-F?jGEgc;B85rM{KtpD<|Orgk_810K}>G8fBSC6yD5)bf<908ox zJjdm6+BIdT)H4$ia*BKl8R^*mTv>ZQ;o=BgT=cKpCNBBEX>(LCu649%yl4wl4UuM} zoGJKRkim66`EtgG?y<*Inurr^OoFz|EW4eQjZMdfY6=q4X)+t(6{O9e!&~^@-e;Jk zz0>z~2&f0Udbz&JtXG&iipR8ZAl6GyX8cj~_lf-&-I49m^(yTx-?13;GViOrH%wOA8We=5`k&zysse`Vo8kd=FB4 zCue7&1e}jX#HNyXJY1mxvp0qJ3MUwrsj(Nu;x4FJ^dz$TuHQ;!_*TY%(9I4(C+Mth z%~Voxa-MaK?Khs+1izqHk&`2S5ODiG{?5UCA#_?|h?sP|6L{vc!vVi8h0SI@2lis2 z7O(?gd>R)c4Ts!)zILM)aoAJb;}$SFCTq$c^z`)BDRUp4Z=A=JmhM9{vws#yWoT(> z-SOwj9fQ=qqho~-v1+a3CM3}T-3c8DAASsmv(#2scbwJUy?gf&wTkz-swE(&B&4Aq zmhsDtJ{+BK9Pj9G)SKVdAr_(5tWh9+0H9`2oB^Sar({9!o7`Mo+uGZ)`l0cc=i-k% z5fKp;6_wC(*ysgV0*oiGEG@TwMo|U$cP>e#@DSX%VL}=^fy5y3Ff~9x z)7kqd9i%^Wb@{;-nCR&-ZiEdC4S_5ch?^vQ`9h2d{zM{~Ypk*I(L2~8*`r>5eN z;01-!I35MUFrc&jISB~~ad?LTr{e|=kGr#y(jy;1p|P>=fr}7%}{)C zb#p5nJLa5fymjl=;Bnp!KK)P0j_8^`*H1Vv#6CBAOJ)F4gV+B58fOq0`S8|PKY)y6 ze*MbE!SON|`pu!mkeAWA>^Y~)o&o#_H2FPk{0N#U?B=8N8uZLiPXWc05B^Nd%=!8G zm{213HcCLf$;1vUvwK|ay}jr+PUs{hy8#acoM`~Z!j#q3or8uuh~MJnx!Y>60$ZWz z`smRkXvr38S+Zrd7zYT|dz3hBvCBBfrbB&(pAXy(uK0D@FSzzFXLn#M3(p6Q=A-+h znvTxXt@mz8(2c0s;6B#U@-FAzqwcOQb6I|8C^mt3!;-H6r^A~4-1qlT0V`FZ)_7JZ zkpO@yGxwkHOH*aP`dmAl%)GqoS{2B1-Me@1`SbM0bbA;YCbE9$M3&~}5<;*-fP@m^ z(`xVPqGo4TK|5J#Z)y3nKC=BQUA$|p%yd9bULJ~ML1+sOA%xsN z|0FqXO+HC827AYSialAiYJ6f&+tnM2=M~O7ks*oqmd_esG$8p0BE%@~zgJi=G~<;~ zgHRUi%C47f{=-s&f+Q3aKibGmd!sE}JcBeB8J? zF{UwBO-fW88O9y02KN`*!q%VDZJeBp8**NWh{QxjK7Crg52#qr3s->N#0~+%;XVNe z69C3kFZENnTwdBP{(v^S>#Eh-c=FC<>j_KAR~in zO{djeGZttv1;l)zM)mi+@reoTM$f6i!H18(;k|$NZs)|E7#FrgrDYWopu|$A<(rC% z{DHOzm@#)w&L=9Z_D|rMy>r%r0?nBG-fN2kYC1tC1aQEfot;51YfRjelDxdG)>cC> znVWA@pPaA16Zi~{5yXLPY#1-9=wX>UMK5Nzst$4%VQwXH2r&@cjR&xhnS zTkh`evFcQr5^+AB3jc=gEBO81-Ftx$Zc$MMioa&D8kcEWV9*x=H7mrMf*3;HYdN8q zh7CUi2(q)XHpWT;*(n0)2mha)ozQ~E#l;2ROXzD9c#7fd;xaZd!6=UmKWIKt(LFL! z31IQHHGVT}q_y_=%9elv|zY50h2doTXX#2{(;mfh^gi5Uj zY9ag^i)51VgRskpUrS5J^gg`lurfCn78yxOM7;)DUCukR0C8psV7&rV>3u>j6&?!E zvr7gFwdT}5Cu;zuf&mkQa8+qpnb3a*926}68|3cbv4)1vL)+~f?Vo;Gl?uV{(WYCp z9^FL=@F(%ckLh%9bd1hqxbuVaHz0RG@$!psVC)d5(^hO;9KfUs!x}9>EekyDOpQaJ zDu{APzkavkBF{epLD zO!hLe(;n^!wZeKf1_n$5C^AW0Sl@8^j|QO$6BG`AA_wxgA6tWz7d*s@)T(!6#Oa&& z4FIU?D#h`Cu6Ij&)Z-TB^#<$<#Wg!btjI0l?Mg5QyBF5*cX_o93W=~f?PrvcC3!=>zwEc zN5~QJ^WRA6P2q6Jfio#ReF-Rb!L>oObNzNRkiG$s2XQ=tEr@91aJw==F?k-ewQen5 zjE#>24HnpJtZJ~oKMn|jfdj@{?4E=$4>VWzeuaS^*^3u10A9!@xlKnwAxioHvmf-@ z;DGx-^ZlI|A_!1Edxmkxp8^yrxwy{Z$b=4cQAtUUKff_kdSkYs=gC))XEa9BWrjow zoyl|v?JhAckq{_E_N4GOx}VrS3KSMHH8mCVyPJL0^rb7c4_p;PF2uRD?k6Py0Vq;^ z$j`3obpGcig^BxjvB;sBZFYt{59_B!JE1!|G8IrAVT3CJ;F zKAt`WF3RDBV3h_iw=Yd+c0QIO)2)Q7x6k0b77U(+5OclL;9wYZF34wLST7ly>Qr zN++_t^}hKdJ3AYu_@yR3aO(439+T+peTM$QuNfINRaF`d?s5JAe!3<{!pEm3;yGpb z3IP)*XJ%$5V=m}-qNAWNynOk6_1N3p!y^vK&CP9@?geaSnZj%x9T^kL>klnvb{0i% z5~JO+abawmq?njZXY^*2I}awPtNyq`Lq_nct%b5bykUWQWk{UpZ65y+0#4Y1hqc=c z6D5X_hjQCn?0`x1x;$B-YdjBs!gG29w8mz&X`YDVW#);QfDhr zHeNhP6NHK2sZhR)0g8iQXRhD|WMwhIupV$um=?N2yC+_l3bl5<{c5-ZrLe?}#kOF) z_Aa-uhzPsG4b@wV&2lm_$4fEVa7O?god8k5jHs3U7#@y3nL79kjzaGk z238#Q&ywA@`}l11kkD&tx}kf8vzQY0gwZG!?K&d3A=l(2BO{Xv5g(uw0f7qk0l`da z*HeV%fq@9sJKzAbviSITZX+W4LMj+qwfwq`u=)Xg4l;X7o0}Ir3l9xmjsd~)hkJO@ zadBco5Ujz70mZxVD;@3TCBu$$-H#ulMq}OG_pZ&(1UEYsF!p>;ok1%QNDE=JNJ;e| zH!35i27ot=iHm!(FUd+tiF)Hiv`V7@gah5(>)>v9z1cykaeQ?2;iE?lf}jHoN4b<{ zowE&imVGMPXV0!rKAeZAyF#yCz4W4DU}fEgBjnn}^xcx<4-iV+{p}f?lGnuq6ZiI} z??KzIU)NkYSY#49N(=Mz(A(~`JKtLQW)c1bv7moopuUnw2!33A{2No#fE`Z-3UbI1 z%Jf#$huhXB>a#^&$M${L>P-yzZpW&is6>s44@K0H6MGb;KGUijkT;@X?kDA&86z){Wk z?Acq@Ay97H-!CmH;^SI>o05_uMEs1HiYk}IV*Ke-c+P?M)YI$YdMvNS_~Hxsc4<}n zSz2_)F1}K7qW$HC1z(fFP#6O=Qi&&bCV_K?7?WHo(Rrz7r8i}Jq%egGJ#Sa-cvMCT z%s4gutB=M-F_j0&ynY%ac~oPj64BYM#{Fd!}=FUEr@H9!K!?{iR?gvaZSW3&2zE{%iGn4TN)~Gff3mH?AbGB9uk=5zHiw& zs;cav?Pn??kN)qvFHIAu6bA#(CR zFk?EWs%-QH1a8VlV5|*XcjcGrKr{fi&s&g6Po0x*YFAZl772R7>?+XI8AEhgG{3?? z)yV#)!6s1N_<7K zwl}ZmOmx&inca%i2aj`r2rq9eT63?q^*!@lI$K=kS=m2IOF2(+$n>knksaNe({P?gH^dM8STTWP*T2rEw0@_?GCE3{rc^Y zW}($=00o?su&^e*V#usP4hF7rB0|uQy{M?9C0!${p)ul?($Y)p>A&5;K>yTWy(kdx z;#aOUacX+s;9w$LVKF-lUV3?X-MXbl4at@`iC03PiPJwk{E81&3|E-a#M0>W%xc1M z`!ru9bZc|GLQ<-RI0|IU&z2rPv|pdjhv2y+yf7mJPP~MvsYJ3MqJf%nOFkVFJ{?hl zP_^A1xmEIJ&KH=K7qk3d)+@#P=1$QB9oInmwsZy7*l~68t$o>VQ?^|r$7ID zX81#08^TU5x5IP2Lb~??b8)3B&{MMV>-h{0F0LqPc%4sp(SaJ(%0Wfk=tN5viLz{d zU7ZGXbe`rF31hazCbHr$g>1_FNQ|&ZZEA&KCBp>?zRsp4+oYtVI710SM4E{6pAfJ8 z?`ngqOnKbiGi6pc(w)ey4e3*8o=tJNWcDM6%Uum6`Ml3!U;l&c3)ac#t>4_{?#BAf z?j3?+>yPgbB*E(EU1a6gpbu2pon(J%>HYusdJCv3+o)R<0|hL)yFt1e*>rc8beEKL z2uOEJcO%`QNNzfnM!J!1?gM=P8TX!Z#(jqamA&73a;-V%TB}@p{Vh=RDIsd0Uj){J zatE1mf!-fN01S$TkFT>_1v(MtBvcb>4~mM@phJKCF6i=Fvd3_zQ;Da6_Zn2D93NG* zFeqSMf)1v?#wst$lM-rHSOTNVq`qO?X*}Gim{yg>*>PEHBB1=dp%{>#)il7;+aJpx z0^T41b3r;kJX~Bv6jRQu?Ce*sUIi5Ze|>Fj?O{F5mW18i%?rb4Rgjddy6nQ~r6OL9 z&XiO`KnR}3ZUlccu(`m`;J#U>KHl_K_|=RmZXiE6&ReMYNpJH)_8QUc@Fy^9JHfz1Wa@_wJn#;0H4@oAnIy%F5n;?I^5>YA6>ZkLfj3D3qcGe=#LtH=R(n z48{F6FXb*+=kdCHYtxydxsT1y!8;rZYH%|XlmWx}KDzh0Mj%MG1Jv2bNZ$tj2eZL8 zqdwOSc<}-a7MVC&{7&L&Qp$J8akN-o*Te+#@aOo{n*bFZWqm&0U7fId>%EK1VS~Z- z*pwJKq!U!72a^~Pg#H7WLSR=o9x&1ay+Kc~Bq@FOxN>Sn&Qy|O!Wtu@jO)!&NRpx{ zMHcIusONHhf&FJlXM7$GXcvat?j4R{NKd(w6ef7rdsgr5*X)H_);2lvIPs)#0T&1e zCpGUJnJ_I?AS)?qX(t4yAx3@qB6yFD1ITd`7(H-sEN&n~rQUA&1=783|K|@8A$SmJ zDgbTMrG`?!QWKKu&WII%k;{Z7t5wi7K}PKHtTZKMc8c8_h%bJ*O zr~k{W-@m`eeX-!|L2Wf7Y$4J(@l_9BrX-~_gjzNwXc;Y

_pvTTge-#pR_0Df08@ z$&7_c*ocU7%Y8r&;++?ZFlcL^WYIL?F#rt3WrS)7wITjhWEN6DKv>8CT zVlZ282dpijSYUJX$SNTR2n zykaOGzQfTjuC7e406GGSa)UOPSEI}|-Fk}?uJ!59B0(dlj1O^%!t3lW6U(b`%L8!{%;U{9I z|Cgb=q9_o6UYCkqLo)K!MfULTVGn$!zb(L1#Knn-L4HlJ{Ly5lfL{7w@TDRN=FQN= zgfg&z0`D=f)YLHV56m(DryUxJ@Dv6qsp?{L^&KX@lag&)ng24>gk(cLR-K~T21_>{pi z@*JOjVcI(@$gd5T!1I{ZgFq#7DNU27*=jA2Oyy$;J zh_U19Htxd;m{^&&M{`3$b%|mE4X+W*Hlcf|+#nmy%>0iLtkTl3hi>UrvhC;^5O{J+qvx+A z_anzk3L>VDBre2M5vXRVV7AKDVL#D_7D(0ZR>UU~d%^T(c;)$v7nAjAVEAT$Fa*^y z9h3uq!LP65(c1{^l*4X6B&iAMBNfM44@^#X{*M+wOsoee6B-TlVZ6`SK>A?dS|Q52 zx?Te89}&^?6HwFvXiP-N)zvjVKK@}39Fv44L+-tN^Ue3EM-G__lU}YAjsD&{()$K9 z{7aowADml26FU;UdZ&3jfdNO^eg$+kz$fWvB9HLN6Z4#FY;2^a1UVLvpV&v+it+_Em|XbzZ2%mqa!61qC_Po9QFgzBK{z`etq=) zOI8$?{&EMB!TFY#qXe^YYy43`enL#qy<+4fpk=*it7=Ykn<)c>!$Kl>4>RXc%mi!HCn}Xu7znI>8XD3p;6)^V`}SaFhbdaM_-fYepP%H1Nsz|J zqF;{@P~JB2%hBjZg_f4BGv0+L-)RD?h=1G-6669y{K?VL2pvGU$>yDBF(FH~ng0PHTniTi!oGdEc?Xp^%Dt}ix_nkV5lL7^Tv(mV z>9urdv_KpVL!kBbEuKgOzO;~j1qaBE_r!;s=>J3x$>R~yINj*@%iF7+ZLrE?Z}H!1 z7z|>Td9Hu)$wVO!-5+gW{tI`&s6@{YX!;?BuubK0{=`VZ&eT{?L`k%&Hxx+}<4t|1 zutPPxE2A1x8T%VzXu*Edco1>{N8B#@cX4sJNclZ6NC4F6c1HK~Y1r(Sm+ydgC;&8{ z<{$~<{|qQMhkbMcH=%OrnLT4c_bg=2)JxlGtfR2zGb|XSsS`AzCpSE{r z=EJYTpU6JQz&{aUikkMG0U!`i(H~$ut7Z)#--FV*;)Y~#p zL!Vt^JlJeEoB8R1Jwrn_ApR#1o<(MYB2;fH0}QDT*|%xxXWA4pm|iSIc?vW$)do}D zl!QbNv=Ge1|99Jl{I_k3a0-A8W-T6sgl1*^1&|AT3c^_1)&6qQOCW2g$1os0BCH6I2jsR+7lp& zx(~;`zu=Fa?zxNeb3*Llg7d4Zsd@=;{{80cjtOYa2WlJ8h=AF8{v109aHN2QK?M4v zHNZjNqmU1mAOf@=Hz2&Bi99c`-(5^>?Cg|eW%+u8k6DV97-)A#hL;S`X>V1i<5U*X zqM|NNM)zNYVOnrb(2Wr*C9v&|kiMIaPmOk}cyR$Jgfv(Sm!6 z7?5;yub1OMZlxrzwzkQt`0k3J!%-vb)`RL*gZ6{#z*i<%;Z1Vb9=KjShEZY%+y-ze zi2H_y_&u*Vz;*ZjZ`-$Z;o@A=*+BoLN6Y#9yY>taRprq-Y~eE%jlWEjU8b#jcapW& zsG-aG+q2DLzZo_J_|6M=yt(;v24L%iDKe-~V>a&p7|$qziv8@uabt6{SHI!`o(0_= zpActeVb-z?dJ}=d2vgRl3()c;Zuc zp1r-jevG}xO&+wl9KbuJq+Z`#Mcl_M4VNeg_{h}{Z_gMl+zorp{_1@jUc5eFR$AnK z(h0@6@HbKh5!BHamDL3bA9)SdI*X_F7reZ`KXoU)M?C^w6M=+Ai1_&7-XESJym@mk z7-DhQkORS_54)*)uJ2SCS%Yi8!pL}KO4DLbL&&xYjWmD;w?celud|r+;^ZjH7J)n) zh{Hc0{)mC-OqeG8X*$J&s6FAszgWS=Btdv1=?Sa`cBAxpQGb3)PQ=25=R`|YSY7=(3iezqB{dZ!6%5??>HjJz zDX{?qTLcu%0%&E>{V)Ki^n>Z8Quy&_#qu({W;(yqikR4NLrU`ueFzkm*yBrhk{4f5 zLHx_Z&%SLakjxAT^W)7$z~mBE!Vm-j3QDm@lRO5~H%$b^Liq*fbSTf~H* zl|de6B^3pwNhPeC>`tHbN&aTHh~6^|90&L7MWUE<}y$KEa*HU+CaN6`k{LL0$9Q@)h0TzOYDfdB?7^yH*#s96}$8&`xf6c`o)&Yv=lZ z)|E4JqqwiG*gbm|S6pR}Ci*qR$2pFyZ?j5w?OnbXbPQYi(k%sHE%qfPX`#}6Y;vw- z0x|?BEFzxz(1X}cd=Q1Z3flC5wXgh(DKkMjhN_@)Y-ZBW>dk^RirNweTBEaTXk(j9_ojopd9q4(%O-ZwvLdp6hfP|b zn`^#o`QDe8msy5S_^6zn&wwuo9K>hB|K{%vL9fy1tOBr&WPAuN0(qdpbfmm@6Kog| zkRbtYF&S*n8PZRsb3dt9rAZI%b7xiB4U7Kh|N2bvZ&rs*ssvoiOqJ&Pk77^dLM2KS z@1YEk;uX*;BAo7GsQvD|W}~F203wEQS4G(PxVHFlp}=R#-|8;;y%Y|c1?ImE9dmP6 zU_ z644zwZceH)pIx2qG>Fk9WFy`${~!}%4W$@dp=N&Vw_@nc`?ECuw=$~y=XSG!AY+d> zDKhFq4Jep)b{yETG1fZkdG$84v0N|ui87s1?It!#j)#kQ+t4CZ(zvY;wiHj@0bESo zQfqd*U`j{2IgZ+>&Bvq3X`|8b0zr^O&>un+CvGL7F{4`jUboDBRU#bdjjkxy{Td!3 z;sNL8jN1Hp^YB=`ispT+L(#5MQ*nN2jTM!}%qW>;w*_+1xI^plfkYP9h2w0oU-hs0 zm2(ikD>{^RmEMBiz@s$|w=5cAzEHdSGEH%9<*>)^;Q?XNsSs{C93}Eycz059Lyf58 z`o+jtqs7q*A=t$ujHm;Wu!T|MTJn%GMeHpl<5Tq2?HRHvDsjuhknW*;*~E#SrpyY) zyx7N!ofl<&%zwKZF~GGrQd4WMJyp31MaarPalqCg$u@c|9g@`p-yA3|YnhKSL z2sKk7Mo}0tItJL9F&8}5pOr$r(UYf6r>SmXCCXKK3PJEM{_De^_kF0<`>!sES^hr1 zJUA9ev4tQ1W0vi4$dQm=)BVGj;U9eFuYeXjCei)X>EM+6Z0OLm)h zd38RMU)R$(>3r})`i}#QQbe9Xdzc1p=3wtra@K07)L;+zk>@1n!NiB=jbT!B$S zN_~O)gU{uVbm)lvwnlfuBu z(6`B)Pk5P#9!_sx5Aj9TfFSi{=Y6$j#h@*pIM8@gE-fYVyV_y-Z{e$^u+2;c_8vxC zQ;~A{G%`PG+uxYd!^tCUI)NC01#jIVhaIN=q!&}k|5q0;U7T(RLBUVVtdKDHPk`T& zx(3lE>)=$WzyvFQxhwJk$ND*=jR?x>^VHaQg^R)W1-96YPE!kR&NiC!Q)TXBPH|Ds z9=nV$TT2s`3X67Ch|b=7xSuYAOI|fwopx;0_^T>@!HRlkgFp9s(% zr01%hSASdbN#Gv`ox4gy1R=FiPD;DkLiM@P_EYNbDsRcSrFXH>&kuKsP|TIik>hycsX>_4nA zF+(SGGOq5)!R8=L&n4krwGgJD$*qteSy>*Mov1&<9o?I3R!~X#M-e%UE)RkNY80b_ zOpiwwoK;t|FII~PT6`aRsfP9RceAlZ>A6NH5+yx~sNoj`e5IB%MWN3f|GRC{DxYO& z?ERxFI!rb!*Fa9Gp1a^dw>hG`+MYpEy?83PXOR1eAD5eh&MZRVz|i=u=iymmgIFY$ zGW0~>HPTjL`4;C}0AG&`0V%WNMZb<0Dzg9;s&>-)A$2Qt+NZm*xMPU4Ic_se-}4iZ^iiS;bSl?2;Bp zOoU4GT3FI7=z|c1G9ZgAROtuQ-qJdc;eEeP&Co5@%nhl5j>$&<$bcndDCI-Tv}1(% z`pGegB=?Z_Vl`+Hv&R>&RBH|P82-054)&~sYIT7hC6QIV>pb4#*>UTdiC73rqU0Ac zTq~6gRkW^w{|&Gol$2!A$qq)u$iew=vXl@c2xTy_P)=YxiAI{7Ikka{&S$=bC}FH; z%z_X&#YTCzDXDVE#me1ST3q72HK6UM##LGL5cLFd!o#f2r+i zZE8a$_}|vxzDaprX!b?rG|e+L=9PIX+?96U|I_)DcKp3;7zRl zny)I6adOSxZTWwB84+75H$VE5=TI57?{T$U#0df=MU7g~;VBShayWc!160Uxr|V2u zsd|x?$`xbcjAmoqLYY)mu&|8gz8^4z5I#IaJ4U!~((%X&Mz zS>)f(scfvogJt@#s1K<+U_RKXw8Les4_+mFxBnPh>26O*A(tX{e$JgKBNGQC1#m*bo2%`?xx<2=H#VwIrt*#TUxqbttrRSJe=4*g#jBA6C=!+9T%aO*dBL9DbG^P zm@uQ6*!UYc=)AU2ZsoUw)mq;M#@mPQAJmBaD4`6#G#Zr+^#gK8r+GUM zL5Y!w{eHmjKxYpNa1Yc6dk+!v*B}d=PmtP>E4}v2)rIQdM+;B(M<2m9Of0O1riLxu zg$572lgG(+;Ic9{t18BV@Zacn`|@Za>Ly3pT6K&LoGJ2ugh7zUbGMubpMi%Esmi{Y zt@BjjD!a?Z>NRgQR!w`lO&u?53NmrhV?A zsoPoQsS%Vj{oSGDLgRkge6Bm5#IjV>pX)5-I}^$M;HaLwJbj_MIojJBNbDyk5{IqS zSiRpDB0)>tBhP-odZ!=scawRYm^gdzO4n+>TF++)5xtx8{8*guClP43cJ?rZMklPv zSrzI}R7-X5c6ptKe@{t+M!R#~N z<=_1jOR7ajhQKW;8jQWMqFs&kt6;(@?I+`g`~#&|zKT zMUU@6l5KhU4*zvek#bvk&?3& zjVB6!fF(>ek1pP~s%WsBvIgefj&c#Kty=pDc9dhC{sdy%m(qX3FKosgQoh2F~Qm z>~rX`6ojP;WM*glREvV9TS^!cW^=ruFg$jNiMO?w><&YO{2K3mIe6gMIGwLE?lW)pzYgH5x6pKhg^zA#sUdt?`?m+?CP0R_(VdTMAG#KpBr@y|3Z6Y;_`=b=Zoew z8XUDgko73Ih&fXnmoWy3j*~ix&6!!4pYvSHGzcQWVPz~?-DAcfQ4}dBto0Rf)azj5 zI)e3MPogY7tV`P6zkDhX?-CRq-U=XkpvS7w*zHv`L44?5LJE1r=K_RJzv0@Q>AYqv z%j_H34r8RVB1-eXjnipOQILXfKuRPnK$tEp$+5@!i-9~8M@Q9*{5(D7Xv)>WnK6%Q zay(WhP-2a`;4iUa99bwPm_x{yQi_$vW}#Tm()ZILvGHVaTRj^NBO<~`Kl4R|x0T`s za)h9{W%n3CJJJx4=NeHjdU=%_jlE_UiTPW*{j8MO2A|=n^vXo@8)jn`t02 zR4};bWAGz9Y5j;O)z;=V;&Efqpw2nPMr)zY=x2E2CWXbpW_7(Y9K>pYD)K@kcV}lH zaSC=%RlO|!mE*aJ;oPK=k&W--SQQ3q4Odg*upgqj`hGy}JA5q+G0Hf5s0jLNA}z~B z-Y{*&m;Izq(xb+U8k>68fF>`_LZO#%^Y%hBh|Ho%jlu80PPMkINi%alGJwsc>?o?m z3$@wpOeekd85|Ly3xU`j{C5wi{DHz6j_6ZEv*4A}ev63|kfWwQ>DFuK0J~!dlfyRi zJnflR7DF+!twSpNJ|=Vi0By&oGWonr< zc+^Nb$$heSt`j=t>x;js%gw2LTB5riBP!5x>>zDuxB@hrYVi~NmLyRO@njOAINVz; zXGxq>Jdds;Dw`=+E!LXa`9xR>_nV(B8jt&mCvYRD1d|{e7Q!WlG0~aMm z3UU#)4JO-tT;NxUk1vo0E7rZ`@qs*s)#@)9+-z~d_n$T=Y4QvV8%sYry3uKMMsv)L zs1)-QP&@6kK7CTS__T@{AYk-x9*jGiFQJfdGtFq^=Y14d0)F`Us{P?vSTC~e9PV4r z@1G|6IKBE+sQ>lQK77A@Dzm4fi^m1I`DUNj9)l)GOpopo@78hjyY#kdQ#}Xw?-?Wr zh<=pId%S#lEHJ{-SrPca8ID(bx_4UFi#4CO`wBbx-!0U8)`WyGLkRD`+Wel62;U#( zV8m#!;ecoxl?Wk#=ha-Z)zt92lh>Tr(X2eqWT}JW56tHKGa_Hzz#YNJ@u0CG z@V)YAb-IbivX9qt@0x4z)EmmGz?r0Tws*V;%=cBl7kR+6V(Gl`0Pu?3TNq%_j+?TC zB$RzJ<2(4vJon{Wf)hP%mGwzw#5~FI9J-XB;QbB2HiQmaciW-064wHu3lg_+JbQ)L=k@-5g1kEYt9X1FhMK#&Vw z%_seQ5uFni{23cVLqCQ4^S!S<&c)6Ez1EC<*=&M}D*W#vG2YI5W|&BDRF-b z%A6;HT^Wclt%UNZM_4U|-jtvBn(uDfML`Lvy|E_l;Cp#0Ot9aw3o0N?iS-iC6Dc8q z!1VHosgXlfZl6EAprNDNTg)_2VW^S&A1%O_{Oc3Kb^CQvZJB;fkGC`PJ3H6p z%M%op`OxK8YBC5FR@QXd4fse{!f^0!-n2Nb-*yK*csMWwVdS>!KeKa|b@|^N(Anfg zVymf|-!AWLo@6`sbD|F-Y4@DsI|k6|{#zGYkoTB5+2eB`o4`y%gpAA9-f&dLm{`b0 z#D{5yeskW)B`4uP5I=i+y*ASnBq}+ik=por?vjC5@}>OA9KY!9=RyybHv0%C>8soN z{U7suyKW_}Wl#=`z0OObu%mm%ibWIU#0R`oJ4*be<9JdMe)p8Mr7KOK}hn2 zPYLWlt+F+C*Bhg&+hmck;X8(=r!}XB(wu8YCvr{hb2?7{-io#yk+`xvD&W7Nxw1ay zcem6Qy-ZhEybW4BRM$zgvR_wk8$32sTmzvd00af977dL*gg_kzygkOOS8cW=gVV(| zF+XP5xTi~;5{eG<6ZX2`{SdR<_AnMcpRO5czAeMmUAyiVry%A8vGTcR?PQ|wbm}X- z#(pzR-Jb96n{YwkFq{kzzgvyW^Y1pg&fbl#!3q{7nc(3pWNhUaweKgTq7ncsYNQEXC5$4-t|xX^mbng->p~WumGp zhTDhLc}_n~c$zdm9Nl|6U>Gk`dwn!huBLS8EM`8Jp*dsxrYM%JKfZ(|A{-ySj)0+2 z-bJv`E-s$rN{xSh^(=b8-bJr=KvT*=Nz69#mV%rMLH+@T2u9*#<%+}9#4?f9MMklR zOT-!|_c3Obw!AdAj*q9*t zj*n)-USHQ)5=*!@6zWp1A$i2fWu($(pj~>`>SpGLc=ClLUs0>cRdcN#1ARPbGYgVC zOdtQ-DTU$p-;Hx?gF6HZ9;^C^xC`!c&%0v03X{hD@2zuQ$!-Uo-%iB~eUP%n#YBq< z4Rs{MfBX<%i^CgWDkFQ(&fU4)&LFJ=eO0w9$}bMT^#CFAJ?rWjjhqdYSqqYmS7D{& zZyB@1y%t=6%^F}HzmRy(w5nx!9!VPTv^p=8fM39|A z&R2iRLa@7ScAWYS>sFbevneAMKYX^lPAK0Kfk3-eG_+V#L!W+*eDO)-hN$ZSG7+lK zmA}QPZ_>LzMPVw!TD?y;;r0WY<0BDrfEF2lTBO(d=k8YrU-+I)mm?Cg^-fE1&GwqL zOpFrbcYP!#9%BL$#DS6i@AJ5@Ak2#W2>$C73GLe8p;mTcP-Bc7nu}_C7go4yvtV8|7JzZN_09J z&AQ2(z+NH2eI%r5ospd>w5in1b*kZ4CaMmvXW*N)QJGp|RABh|s2l;ofO90zd_l`I zi(FZfN(q5HVpWN#cEGOXlz@lp&K{G&u>Y&bk1f_MQi}ZMrrF~XhC{wb+)O6tgFUJqZ~gHzrc~N33xV4`Ki1&*FDjKBQmWg zlB3h8Zy0G6e`tuK5N)0=wL~~ZulXUG{h8dQwwY=D*tC3{Mu$$ix_X0wz^)oX^VQ(> zK-jPIe0gmBsHp9nRS!?fHj{z!r9zd`ZjL^CPT^-?(OG+t?`$VKmaNK9kgqW;mCtTl za%lzg)n}OG-|1G=l>B^Z5Js&b0Y{`YzPl~{cCP4KhB6}4a!SybFXEsGLJ$PaA{mkH zQlOx#Q2;kWS|J3FSo+4x3La>mu_TWv}s<1f4ZHQ~GB%RCR6wc%2yjb;Ka)?@6dRI7j6 z%__O1qlem}4ywBZqfQ4O7XC4Z_iU^5eaZK-}+>U z^d3iMDytwIqr=U@=X^zn6g56MUC0ak{l<7DRfUitIoGt>gm5iDjUb zB{HObl+<-*np>NBaS6mM&0H(}Tf61djur|k@#~+mUd_xFD(A!gm~O7S`He6kz`~l! z?Zy97jEGf1A}m&cnM7Dl?fNS&+F19!W#pbfx`yA%?|Oclc$FVpEj3fIeH98#BPf2S zUgOd;1KXOEo~U%Ul(5Tx9qqVVsa>nR8GYeWYWM1$(F~T+M+=Lhm8MHIW|x$VEQu*S z>*XneaE<8>l`MYGm|ib-mn&EaHiD{Fmv~G>dzTI~sHa6y5#{*a8P@Y;@vo z3)53%Iio!-GazU1`%_Ie`5Wjo-^uz0Dw)Me;;m?%0y0EQ2kQ8bK1QjmrX<&;3?;f7 zORL$PmU1KNwkAf=Xz0?B&lpuop^6EfHin4_o+O5Wi7GYA7@mk$qXvidliIclZHUH4 z{}ev_pa<-W51($@>&B(Ecl;9FWu0xh-))b4AF>}^YsqpZMuNI~7E){4aw4=n%NG@Y zh+Q>rA+;25Ay^KZu*;U8#+bTa+h8VFUaOIMdwuZ=gsjpR8k zz?Qdo;;vc;qVrH1uM7&-S#2p@db~Kvp)87W_PD=|u5Ky$4av~^#MrJ@E9BT=Ch;zn zxc8j+zuUNZh%03meC+ImH<_sKIn(_#f>gAE2L^}GPi)t9zq#^z&}P1b*^8Qd{1(T* z$7O(mJCGxAHvpLq#>jPi+gpMW-e0TuUkZy!PPIyiy$Y9VMnSe`WH|gafPpODMPFDL z)EnSfT%_!{eJ(h4_3r5_H?^*w=}|dfukc6z>ipw_gM<1!^WYom>~k~AlC6;fi83g& zK3>Q5@$zSid}@!YH@}V_tmw$NySO_5U3SX-kUxmNYun{^;0leV)Veb(tYop8y*Cm)=xFDrL;sj@-b^5QObT|(e#J(`XB!n4b}0Sq?DUk>FNZY$d$J)_F1aP@hzK%U@b{>!HOI{WFAMcrQ~5}b zMzf#vS9{NSk-ATs7yh03x4vakx`));*@aPsQJ;ROP_})LC}arSFi*<7BaLuFF@qqv zD8jlYX5M%Cze0>3-WiKlq+{pCmr=U ztBg>h3emm)gdNL$oK$7-(FMNf@n#u`!U7^c$BUE&?=Djo3a?e^w2PFM-j#Tq4*lMv zz&hd*GzqshelPs!_`+>*7h^ymr^x?Bnp?poU%EP8LZ=Natidf_)*PqQ9q!X= zR7n`>vtfK;9N>qT=a7AR3Y7`4;GV(GpnH7ORxMP}gL(Gr`=XdsIU*8;Q3~e9IdBLe zLlzkOW!DV*aVO8ED^<)(41cX;NDjT(rDZH6d^oioBf?kQT!lQ-NI`^L&k$LJJXdkt zQm5&&^Xf{o>g3pq(jDF#E((lscmKV%h#!lLk2mZjM94EU0^bJbX%HM7{t=E+nN(Cs zZ{FuXcofHEd=?fswR)fK7caiyp8XL?W$>jj(tlkLEzdx!{jFq4h!N_FOkJ*xYPR}X zfBMUt7?YI1d|1Kq!dD^_EO}M^G!eEB8x+hzLv}_*MUiMWbpzd9dmOW!-jye>irHXU z)Tz9tYv{t{rztqmP~1mX_y9KhBj3>z&&9qZ1p;lEPdF+vGNiSR=G(V$Vz_Ij!r1^E zyg&FN=#kr>TPZa5|MDjC8hAQzy`Abk~ z{69*uQ0fWxRs{0{*}Gx%2ea(M4mzudJzUo2A9@nU7`Oi z0&CfXnW3`*H2fUTSN~%WZ-joh>pieLz?%gOqVXVW#Q!jeR3DqsA0Oy{XAu7nO7Z{r zg}1(tFY*1!-Vu=dISP~YF-i||&s2JsC#VXhM=lb^KD+-VFQ6>{r=hVH)dl|VH}tl7 zErNLOaC%43XUa2I+2`LSHpyj=Y&dY0suYiyR%=+DS*L!xWD&W5dGvDH*#jd23H=Ht zw$LotO&zi{poOfB+*3Cs>9AS0Fu~6p9xo?L#>#r~r+D0LNS}ClOh1}ce6v|5-|cSB zj()PXXx_}GI&%30L#lacX1d;K9;vr&z3#jn@-dyxN~2|_!cUebJsZ*av|D7Zu66NH zhpe2r_txm5UednNO}T94@2vF+%Bj8bXm_L1`9_Ce^2v;1PM^p_Z+Y*tBD8*v!%U6E z$Hl+9R{muEi5QB`git9PUt8_$uwAk5xaT}y?eax0=7p-FeCL71D@HeN?ip_5Yo)S3 z&0_}*UJJp?2rg@l!*K(}&U~m8?#J|LcWFn_x3;_KbrxZ}YAO&oBt?R~)cN9urtGSg z>=27NHeOI&rI%vdh+A_mq{Yj6>NVfRqQK(P9jC&+9h3X@Zfe`HjVAQZpZSr7Po-?F z%(dDPs|!bNXeWmTCCOoCNUaWkCJg`0oS)Ey;|VdQ5`r#iXE&G(9MmXfJ5v9V?un~f ze~whWoSq;gV{OTYKI!@4!r4Z{EKYI8TBh^NjoFyr^8BoB3xSPwt@Fm7JK=UD`)0!5 z4Wo{>*S#kxq*>;=Usp@2vDKPj%F;j?O&CtRg|X?5UbWe4;a2sCx~nlU!AG^ca~(sX z%0r!w4n(HRk4R|9ExI`x9&dc}U>_wWI_n4p=qK+ROKY(e|o^hHp zRUV^QKwb`Uh`pxc7tRgW4s9ww2q~Ny3BI`WVh=GWUL2$Fxg@yWyk9KNi%NBy{NZz>i|rUNTFsmbjB4i64f?fFhqwKCqS@#dwP3`m3zp3;SJ$u8Wn zXp{P_RMFx%=^L|`_k~+q&$qUvx}=)Cr=X2u2vsuE*K80dPr{jWHjue)J*?%rN;-m{ zQVBIx=@`h2mLy{zVjH9T^~y{&?~OKgQ44prc4}kV{Fl>!e17feYK?Cf@5vo(_Lt`@ z>vcb#?uBQq{rN$a+N@2@$?ft^%a#bNH8~5ZDsA(L7F+DXHHEnrQ*-4YXfKHZGTK6m z(llZ3SnFJ(QhrtbGR>_DQ)YmrMiJE+AM5mNvA>I~Ux`q*mIJU5my)Czao>Cj4B zKE9%e?y!HK7f0F2!Kq|{BDe4n&C7IIQ@ zcF`yS&D}-hZ;REg-d&!)WGu?C65Oqm#0qoySDiK2k~O(mPs^?0Gj}t`{^!IJjjdg>T3n-Ze`ke z9+k!N)wO+%u8|-kD>0>-l0v|Vr!Mp5{JXoi{LM`*u+ngP>Bv9%xR7~0_gno{kbkzQ zHF0d0{b{G2^8Eej_>5ZVU@+Y^9NWg;(!LzIA?+8p+uBd(*97%SoUS()yUJlG<#afw zZ9ZOc{Cv5|Oi+==4Y!C<$#_)-kG`!WG`c-<3{usAKMjzltjQEp$ztazD2Ub`geJPed zRS_%dAyZlO|2DKrQRhhCiPwsiXJwX2BhqF;15M*t#lXW`cHYwuF%HAZle3Ed4+{_v z%)-RL;NKovO`-hc+ivG8v&bp40xQrR#6!RJIc-_^O}F&s0{M1;`>(<`Q>H_!Y4H-u z-Dz03=qYmB1-VjKcu zQd0eufgX)EZW(qlL5}^D5Q(~-T}H397i}9|sijBen~O2Tim0`@N?2yX=JNB9Xe?N) zXZFu-Ud9RyBFc0a@uSG2xLW>6am=^=ntSkCdb~IJQ?HGy_*x}xI%ZTBjp97ZvXZTzskA9>E2ioP_!Fhvd#_tR{qcLN!kYfr$s-+S8 zV9_BeAwz>_r+1t?>v}PLsXB9FUeV;2j;2XIJ9Ald-lGQ7GHw5eiTom_*}vb_2)M1Y zGXRMMGRZ4WqLPXl#s>VPo~TiOv%|jPUkDr$J_+e`v~kP@rfMnpRoq@n3POfTYcnQ8 zkaBFSiDE@TRN+Un>ij8Xus){wOtYOY;l{kf8?@&F-CG=K&|mh=TJc7NQOE6|#A9e5;u~0PQ){KRMKfE^e_!+d0;1)d#oy(?3KUHgVsc<%X z7VvIizUI%u#oPyG^4hMQIkg(G*OU;r1k^aC#0J=3vEI+_x{_U79s zsgZ*wDt$A&lKp*&y;@&)XGUra=a(eRS9QcII0+RbB~~%D{iEY-_kXv|Z7owg)!D`t zU)VWp3aPB`l7AsZ_d*`?9BZCuFr$}hRkf@&tV5s)) zAI2a;s-vDnN%@!Mu6C~0?OcXw{*X;yRl`KI)y-9C;NT>FfVkT+K8zh7=7t2g^zo(6>X-|wrmpVe*9(QP4 z%~9+3jeS%6z@J{WbpEWyCq(M{)K`ZKF+F1@+x);`A_&)8VUnc% z^|ovVy?<8z-;_1JiUJ!W&7y08ziLPDpEO@)hfO)n6py{6+PsjIgAq?JtJlsJf4(QT zlu`w~;i$g-m?J-cUCV^5xU!vXsvCot3k_7?9ePc`rpD-@|8A%c*h$}E+PrGD&k|d{ zyC6BAcqXJ*rP#_RT*qi#x+S80Q~5E?%BxXhX=WY|UCs=Gudu5a`ER*y*mP(lgQ_sT zCP5%B9@})F89FM7pV)LL2{%$#DV`|PZHmsTnpJsKfx)J|xOF$#lpLnK_V>k?7s_1K zPTMU}ZJO&*L)33HnYo>QmXMdBtdPxdpKNL|F2?8BvTrjr=~TU#O`UJ}U zhWpQ|J9{Met<6M#eR>xgZ%HK+n`6OLbIOi@_4Ysbt4rk>Q8=Q|zFLU^5tKUmT^%Ts z==Qfnd{_6|l0sR1R$?o;zU8m{o;Fs(DEcFx9fsymuIjB;V)qootqNoA*lkZs|9v!n zU)Rnt->92*T$p6J)?dV(2-i1eYErM{K5c8z>YWhEg5F0*Ri#bkfJn0?r55NE+b z$B+w1jhy~%F+$&W-%^b=e&J#=s9nI~%MV8)t7DLzuQ5E2?q<}0m@Zf1(|W4&|C#ao z8;lRTz?oDDD}>TDYH}KtO6NtZ&0K%6A{5S$=k$i_JiNe2Vl0P}EMB%`@a*uaDxT%M zJ?&Qj{}pO^66s(4?4t;GmrQEsq+{E&*~rBVS?5o;>qBobnbvs8zkYXi@Vq1)9?VRX z!DM#W7-qGYTrB6gU(#(p&2p`|R$**!Sfbim%=?ms#Ft2|e~w>eZO#~jF9|o`)aec~ z)7b6V8<4@}C5)5c-`mVxNExxUy-vS_ zhIer$=8mpDB$}v9#iTD&+bGJ_hh)fpUDrA7*_HipcNRpw>xLwl)*fh8?YClL7CRNo z)?Ed($}tA}?z7<#Kd3p~TZH7Lq@>*caWQIBn5z3ALX0-63qOiUNE~)^#qUk{yTo+! z%Pa}^Re!3A+BRlo$(DZxqbvUCmD#*l-e_ldEgfXxiPw9Sa*HH@mk({z zK`jXg5*h_$_74G$MJN;gWtKWEN0}U%8O(MT<0hABA8QgDn)m9Df_~1V*0btfT}wy* zMcKP%w*PoFO*eQ7TqNr^p!iVDW}k&N-Ia4O=dR2pMtSM+SBtx5Z41lpN@O6ezO>yx z))|$I z@K_^YRlC+jm*ZcZ^X+Jx6)kvP(e=KX>GQ$gPQ39$<;lUgZb$X)n8n5h8h-TJPOR!e zkD$wES={a@nq8l#L$7>6dasqWwMn3i_I#wbLQ2xLpJlqafG~3l`A<(L^8j3XOmg2 z)~NlI-KQ*GZ1WE4Z%k&aZ{?qxuB{gN8_s$}&-R;`S{QQ=rS--y9q;Rnpg_o8_{(1C z+#{qx&TaNel8Y2xg9mD;hKHw5*UFz^XSPt1lM?yP5A%P;%AaSnp9b(xZdF?GEt{S% zM{Q3EvEpElG_O}!YH|+xO9_8;xGU+Ai1nSrDk$#FcHOMtc(JUA|9bncGw5-r+#d2K z{uP4%Cj=*LZs)u4F39uKy>;wR+5KC}h`yCbagz=a5+cPcnP-7lK)xkhfMa-~W}sUq zv}IjyO4&XxWPhr}GimG6<0V5qW=U(zj7W+$bH37)#PC(jKKt`ZzhnhJ+1+JPO+u@l z@b#78va~xYNi(~myR&E$ucH7I;SdeN^WLm0J;w7)qr<>08tmb{rqn53f=jsgCScbS8Rti=Q zvAG-}m8WyEikbe_6TdOLZIJ!Rar%VxBHHZvksO-du3F!$?#!U{ng@M$2&#gHZAIx__#xLUXqx1Qk!Tl89r1 zsvHjUJc0Pea7Rl#>@C?VN0^@Llz1)nH*GnmCE(;%LLwKpk0svPFP|K_#3XYW@0PPJ zBCTreJbBb-@~yFIJiC)G#Yz|5Ny>(~jj$Z_)4uu@=V-l(N!~S!?QNg*(jkTP{i3 zl5zd2)}AXP9H^w0x%KxKxk+h?zhu$;4>ZU#i$$$2)bYzMU%Xwq97*;0_5|>qC+qL~ z2|$Z@8o<-flRK@~II@VAM*@caWk&wi;a08wwOTjo?hAt!?TQCebuI9p5cQRN>go=^ zwLWSUq!G^%>T&5wi=FeFD$bhJz8hFRQ*|TJh3uR$gID6j^utfZF6o@_Fup){UWl)rRMAz+Xn!&l07Z5(LY@0V9&*4OD72I{#asr>&U?F$;gwuO zr+fA6@}`-Ch%Y6sY29mO3L;$G<~ps0_*07N2pg_Mg+=Ri4(>zukBk_j;v1ZImBk<5 zzD=a>7s&v?vpzNTb-&Efu%JC@t_J>Bb?q*W`S-5Hlzy^aDN<6)*qT0Pg`Kcrk=O8| z0I>c<{)3nCu*eJt`^DNtg8kSSi{HE98AkwE{ z{miJ{a5vFOYd%xldxm7WXg0PiUMlg(Ct+mPhbfF`xHAtm-mIxQR!Vqibu&_(XQ!a5 zrHbTYlEjbdJozlG_c?MhH&azqBU+V5MP7XE2N7+S_DVeMSSE%cQ!Pt>oF4Swfdw!%xhQ;@FJjc(?W+ycc;_Lt{;b zL;;jCrS{m$#yVZA<_XzHNY1co?H!behWPlC#iS-PVrB|8X8Qpsn?xWFGa?ji_IbNJ zEl`@y+08L4#LkFyxbK4~HySwmm2x!6gwtIp9uk?yWQ)&Z8QMHwtIsPkzsNVLy-2#D zC82$nTq0nbpM6=cQMe_%@ZOL$Rx1vtXRd*#w49zga=!QG57v#O`fG8p7J~BvXmB0c zang=|@>KEv)1ojF2FX(}xhP_Ol4cG09%Q-Pd|N^YJ|IrnpDE&lEGHkRD}?AG5hg|U z6Y&{-s(Y?J5jni7Az@6aU2xYQsk9cQ*3C-$T-7OD3c)9jk7bpQpH19h6p-D3h5}X4 zjCZCXCgZD?!4nIB+O5c!RIVXxOC2Lq(}KZu1*M_2D6`rmXj|*AB;wuyjx)N7r_T03 z{p2EouYQpUDr&Dku~CY6`hApZh-(s)6+EnPIM+KKe~C)k0yVE{6%j_%*DD%d4Egfv zJ4}hJ_bITme)<1K-N}LfJL+EdtBPZwWUHxVnbIcdX8qBTX&_EGwGd;tN#Lqp%GV-f zQ*D1)?D<(FLaqU(xA#3=On+D3Y0*Db zb|;}C?RBz9XCBjnnHxh@zEJnecot9OUmK`&?|RH?<_Bx>)#&2B?zz)<4@0KBmV9WH zjt1t@NSpdSduWUw+hX)Og&>C(HRZB6dwr??>RJsU=6d>amC||0N#H>~4QrYEpogp! zh*fAlYqg;&ZC?b{n@^JZEL-x~0nsjL@)G#_u?jCsEt@t-waTZMxchp|{?y1Usye^N&!OL``-UXMPfg3pn|~RcGbdDWUdCcmqehj;Y3Q?rUqK z(EY3lY%L={33W8NU+)3$h4WIa&Q@4ZtJ8UTWaSTCn3+!u%>>GWu|BApypy=bY3{it zyYHwys#mlLe=VtT78_ZcOX$I&mk7NvRjdjq3F*X!+61Z8O2oaYnoq+Qv?$lR>m*5y zVaO@ah4Ff}XgXqX*FDE5%5~nb^BME|AK2|FP~ux-?pAF!H$GY1*+fc7)!E%8O8!rv z1Aq=1jIu~Z_?)88#jAtbx!VL3SQx1JwyTHth36w>Eb4-GQ4Tw$r2C1?*-xFDkM37Q z4dvbK1((r&m>G?hZ4rZ`;E6WfazopLb6wpiJn3xI?1?cc{Z`SPCcwu!EOfT%U$|&E{%gq| zXigVg>bp%7;saVNobMsHy~|^_yHOwb5b}Pj?f|^DnpH+_Y`cyE`U6@pNO?$n>iE}y@D0Z>DU^9_>loM}#7d+3y4fO2PB-G-R{H<|4 zK{_gO6%@-GeSi3k-*w_6w%}_ro}C8)*C972IY7T8Nf8m9)_@a+UP-{jvC8=h!L-B>cWx^nE#t5r|@a zhnD$e{=W45KI=y52)dLc=dsKL*>C_Tgj|QulT$}rhh0g2oUZrSx9C>QB`0odZV(vB zqC$CQQipG6&XY11jg>mHlvGYI1AGCjgeklui_GWrh7E=c6~61+1QGypfI;*d6~aUI zBK1Pn7yjox<{i$7{?~v1?vTU$bLO9qt)K^ne*AkKv@Jl?Jst^>Lk-|nUV(ILef;mt zZ5^8Le?N^W{7mHW5&Q4C9L*%ko~_SPIbRcCdjaJgNlAd|@v^eAP3jZkr+-epi2E5G z{XlX7_@UD4SY&GQNyF7>NgjSOA+A*x2rpm8O0~Ue zvvxewfpdEn!f?IThiQ9v*5j*rdA1Rcjqk0&i3!~U?#=dFy;{?cclE^LhR>yXr{{QK zaac7j2M@uj8pZlTYaJ5(VtPSmg4laJJcM9NHJ)H+5 zpr^E+z86SCQ*=GNg1(fEXU~ROh<-CfNjod2@fZQ^i%%m!F}knR7DZy)_NJqS+c&b~ z-mv_g1&>_-Z(f2_?oS+mo(pO`GR?Q`uqjDL?qzISt&Qpk<-l4!PHle(!| z-V*RLLXj3iu~6W5?VYa(;D9-;&hnbD8WdI<_~PV$;*j#~b!-{()LrPFWp)SXr{csevm63QBCYsbFn;*Ag_HfPbOgH%ii*Bnm*buE z{#YYY-Rmj|^}&_8;Z>27T&OXr=X){(b+wTACKC%hO0&?LJc`{7iL|Wb2ru)L5JN;Q z`QP}@-dSAB8|6E6mIzYUiMmA$@@(jg?!@>AMn!7kh&;?7P7sKCzxEmE&qw0P{;J;7 zMQ@}=BhQVWVHKsH9QG1Db48OyUs}qG_B|;+^@QDIKhp8cBzGK|Q{qZk^ai$3^Sy=| zy&pZq-b50c$6~?AxvF1Av|ySzaPV;FLu_|x8s&TStz!0VLW;Acf$+@?x-j|Uait?0 z1L2R)%Rz5YU;(n?GSxcWxz}a9?(5H_y3YyZF{5eK=NAS3RFN)Ye^-U4aXC3a+v>Qi zvZ{*9Vz%6ThEcjiIi-g(#*>DupBt^gIx@RJb$HS1z-_Q5|J#JR;9|?hO_TLXdqvdq zz;T_?$XGd6V4j4i1!52roK7C`ou8PM0Uin3-bV_g`MGD|zta-~V^@-S%ITFR4=O3%nFdf4J|Xymto zIbG1DwJlg))Fn7~?NrZ%40ggev*(N@^FF6tG}g8GnC6&Qy4*t2Snc^c*8g5Rc7`AO zY6jf5S!g+l-+^BIn*MZh9rx%y^O>*7{L5{^<;PRQDKGKfYYopfYj0nV6^^`LznsF^ z_WHLq{B$AB&O%}}$8WRLtdCxQ)|?yHtR-V)`?RF;?VOP;%D)CJNK%3y8Vl&(%ubk_ z+KT`9uKt*T&Qn+n@MaTh?~D9dfbQHseQbgH0$Nl6lPy4n2Z#;=#C)CvgnYfn13_eV zk-Sqgzi~7Y&D~=}K_Idj`=yuU6<5+<_7~=rpT5l0rgGoi+~k8@vDAuSK%*C;VLRy` z;6X8A(+rX_lv_4Z{bkxu`RjW#O$`(S?J-YJAdtoJT$j>H(=xf@Z-JeHgJq zL#DWfV9})W{p;*ng0)E{|CgZR>#?|Hb6FK3IdA~14!6a0Z&m55XG8Rqba;?~>DetM z-!Dm`>6bFhF?k?RxzOhnmx4_<2NiV@I&Zr?7KKLtdrk3##p%zPYRl1^F%e(SBBL8I zLgvjTu>(1g*+E$M?YtSrSoYk99G^#eDral3@SfsiVSnv4ci)3{5s~4Vxj*(u%ocN=fBrw-`iFnHD9Z zYNg(JE}Dbek`GBs(vQD0m>@MMs6RYo6%bgcvs?g{8PS}tz)ZFQ6jPA>?e3-L0uXZP z4~xpaL>yLmtVofI1C#>7wGWvp_f+(P8bWVu9=3u9^+^1YH$`xUf?6HpA}>AunU zcBjME`NA!BjC8$L8`><=qi-}bH&mlbbE?YR9aYW!WDL_cEZtnW;9fP-xRpWp{5cI1QyComPVV=Go$vIg>~KH{mI+ZwKSA!?J59TOXZh z#3Ne>AN10?J+)uovkyCeTdB-he(~`?@4$;oNl6K4)jfU=4G!jWIneHn}_Kq);0_pQ% zwwZzCVHR#9p5SH%GCe&@aG_;c-%TF9+G-1&{7mVL+8f8i#>B+=3)3in>)P27Vy}|J|TMm^cNat@D?$Jl3&;`;IC17nTtx1EQ?tbwFE|xjNIj6N;(vjCi|6+VR zdpJ8SQXRJ(oZMB!H&kV%<|*>E?k~ARoAh#a8L6rM8VP>j^tj#~xpRWnw7{FbW;g)9 zcs~u@JtVQ&rpE*AFjx{VG-E8fF|N@EzlcqNVfjw+nm>g#3O?b(fP#u|vtAHtWOAZU4( zqCNw802>n_LW;pZV?1oygV=1#B71N3Q!&)*xNKh)b zZAV`pt)So&AW{Pe5VI2uwS9nO^zbtp3KZqOr!QJ+P?x;J zTB}GOc3HV~H3x_Nh*XM=vR>+IehqkvCVvSe9bc5GwmHg%E;0y$iI1wZCI z;FTsfm{vS@co7T*+*@THEH{}ArWV_!5111;;{P72xvJ=OCbE>&biK+62D_X3AaoDC z;#;Q);utpEE;n-QM8?iZMZq{?N9BFf=u&JAgGY15&@>wsKPr@430`_CS>9*7Fe2ZTW_IwOxyF3JaO-9Eo?{A^=vT%0pax07Tf*>@Rg)6f&Sbr z3HxvC^S^hL#*XUSICTM9?ipxl|BzmLc<=}Q%8;W0TEdC>s=2t>y0}lMF(s4-WKZ&_dT(HlA=+GaL@@e?i6DzgH;Q?x^y(B|8_3%_RpMnlm;k;oepLJ2|$2) z9Ug>@ETXI&OVKwr9QKFsIwq$5z3`4UD!i$gXRM#pWNaSxqAKg)b)jdkjpM=GKf7wM z#atz&fIy097GQbeUzkzR(0CmWMjI5wTwQqx&=4xbcC^vp3y@1{U_joCUFq`qFRuOp zRgap}Wtu(--g5d^)Y@ZI!Ryzh;m0gR;dCuDVSl#~OySnCwEYw&S+q-))Qx(5F)+^o z_UFIjftUe#i@o8|Sl&rqnRP7Kqx{fJLq+bL?IN@_|<>cZRNq<*uNhRRDV< z1~1kY#{0d8<913&Djgiti+`?w_$Ub3f*%V4H}x=h_C~D6*s1fCB`pL6;KBX{qGWzg zS8{TN-)0g9;&1<64uUa20;Q+x7tt2FI`tf6_j_uPljA0G`+G3IkCu+^Jw1Jo#|Oku z?RWQr7nt3Ce~(BH+}P@G4W($_+Fz$a2L_6Kf4MtbefjbQwr%Yv`4dbN;qwn0ArgF6 zW{-<0EPcrJm+R(alaYvfK!D8Als3O$SmLVAzM1dXEk5O)yeH50qhO$JgjGbISfMNVCz}FBXV}6S38_yy zsSegV%cpOGR3Ss{$Q^r9Or~11a>8jL{Kk5#ChAi(q{rra^T9+IwE1-*1B<%*@dop$ zFUeYuMr^(H`UIo7vdIL<`@IZ*m9C{+=XyBhhcC2sW()QQ^EFwH@HtZQdo234DEd6G zAn(Vgi|(vVg?HK^)*=Wxd55()Tv_!Eva&~r+)kVFW{iX;$R$m)ybUA76EO+PZ(U`! zwCwh_X!AiAX?$%Ew>F@`SYYEvYfX!vcKxiBqZV--3rpQQMlBM)?%TNB z5AE)_e`ms-tFv`au`1N}?VeS=Y!dJ8ZWICt^X_4HU|UvOu(crzOQdk+NG#?#bY()a zlqHQuNmu10s*oIVcXoqW6JQ}11&{G<*yb+Wl3EP(;dGs&l-`On&GuqAY6j^#6P*cv zO4&r`a|9d70lOSH2q1si$ljE!VU^38!8!2^vvJlq&9A=tT{Z*2tL0b|X2X#fKBQ9& zYyUe`gGChgZUps^t+#)E??2C zObcM_N_dwmr=j6-prQhZNP_<8O!DC>YiPWeKn6l1e|Nx_Z<&~=C5Uc34MFLWiWv%s zzWw=k4F{XAWT_<;umrwc#SRyZ9p|?ulW@Ug}zQ)_v^H z)j`GsM2)H!)u|qL`k~y}?7GJqfvG8IXi^vRS0oJ=7IyeZpf+IUnhLp?r}KKW11|eN zwE#1-Yqg#wylM?M-5w>2VV7(D64dnMaBOVmaWylQv#{u?=`0i!Pmmo@+Y@$`>sh)} z0F|5Ql11Kei$Vg)Gcl6TR^;|J$?KH6J#ko&O^aao$V_z_^QfY95EX5isSe9xm(2Ep zd+NcU`F{5WCo40{j$q3kHuv#0+8*=61s+KPsep0sMpeUYoUyw4g)O>=mt?OD&+RU4 zLRXD&eI*`WTws*GGlDyh!=!R_Y^2ge9TC)GWEv(C!;DfX_P}~#*=wQ{e)!X!>UElH zRXn8hbPmPe8s?|YC=I~#zGq7Le5P@*qG?{(;{!D50>YyURn+Bnx8cVg$P#PgIrPU9 zLVhHC5vfmP7qdUEcS(W%@pu3C(-Hl4etI(~{?y>qq?Zcy#II?P>p1?T~^y{5lT?Hvgmx;*eSKl6qD+msar77HCy*Ko zHx)7Vf9F?~4ZzSyOfNTS^gB0%IH@HW)fpcC^W#>tZ`bHFiZcp%lrWbxv&VRrZ09QgayLuBSuPaXqFoYdp3F?Uo!V)Ywh4VXKx0dsS zoB1}y;9Ynxk4?whb*Ec;T$S=ZOeJxz%t`(DsK8FTX`rG8?yrei)}HK{on7pmUCdqy z=*)CiXi6l+Pa?#3u3S0Ih4ogCS3;CxfPaO6o3R(_T=O;SM-$%K4r^ugcyepYARadM z3k1?qZA_IPeFpR3;Kzf*mw=|GJZGn_{$Gb+wCwAgDw!xv{5fM_)W-25Q$8yyIgcTZ zV$oMCLlfgY{%(_%#2Zen2?m_~qtUkm6O8aTFEu^yGQLaZd@$8@9q6#Dq;rT+jx3e( zNf(#%Q5Ys`xWyOw%AiK8C=!qA=&9uPy48X9>>JQtU_l2Du;bB*+pn`C?&o1wYjEiB#4k0%<4xS zT0kj_17UK+4v5qXs~&EZ6I)!Q;&&ywzv+v*6Zr;gmv~H?w@)hog-ZzWI)w!Lcc|~* z-Fycuuc(M^?wP2EA`(z=ov;J!<%>=B>&r_Ewn!{|jRQbrVmyp-{;XyHCnG+Lq)aa4 z`DgfB&=)~@OzOuCqB5G2hCnL;uQ%5>GJbWh;QZhv6?0?x>F>==r+AZnW#J!MtKa3b z(g9i#e_wk+uV2IzbQwUr;WV&T-w#nr;2?2TEIaG|R{ZNI`K{K9)(Jnc&W8}?Gq|kEwD+LCop3S% zpPhzIB7;Cjar;SJL}5XYG|dj9IFBHKs%MYfVpZyrJ1I;Wi@b*~HICXGe*C=p{kh-k zF>VbvDd((@14~kNUnTs);wrdX^Jug$NUv1ImO%uy;mu1n-`ddk=tN`7 zljT+W^8K?=_nv*kgpQ9VEO3~}xKV-fnvxP7cUh*d335hm7?H6nq6))<>b7MzuM_8F z51C4d)zlJMxU8pw<|rsB>NSoaTvoLvV;Y^<28M<`A;8QbkM1)F!(Rs+WO@l?zp?zT zAJkmg&7PP0GH1$C)eDmimxzZKs8W$pT6=qhT*JX!0AqAp+lS^Za=>NT(N-whrOB@_ zHe0rB^Y#H$&*>Q%y+}yadAj%uQI5?gGv(V4(TRyy&eDjdzuj;8-q%k+(McSvL?7Kp zD$C1HggbLENCl_EItmm4WdE<{`wm{ya~%LI2XTI@b!oZ#)FJDn# z&u#MT!&9}yqW<}V{BG-}=re>5Bi{_&J&Wk+swz4zj+D#kf>a9Te{x;#4>}8`%%?Hl zFn>@|s$Zv_>;mF2-SFddxOggm#NSeodM-xA~u8! zQCMxvzkpI0?ZDOJy%DT%DACnFejDJV|C^cvzUz~d64UqPpdVI#2!RSH|3S1eWOyWB z6UClvV;$d&zeq_AOE{7OxQaB2F1uMpUMQ~7|G*#kVzpYBKQc_TOncfw3dK|j?$2(d~Nt{k=lWn1Y2TC1Bg`8mNbz|ZSg5$i@G0Ps_1iRK! z>6KbP1kd1IyR@kc3dF}h%wIxIPpfywMT##&4Ga=5?pQ@drQIH#I63u7%4UiaT=u!F z8K>{=^h#HxWMzvllY$#s>US}AGMTg-6|t*$gBn^+nY6SQ*I}etj)l~yTAd!XJ@_r> zgI$FbvCh467$@?_<4hU19t-`)NM)bx@sE4_0p(L@*~&PjR!!_P|Bo~@TXt9sZSI-%5Kj!crFCc)fF^E8* z?Y6c(>!3&ttJ!MP7~8iiAAp*izgx20dWB9zTsk+VnKX;69L@0km8w+Y#ar(ByQIuF z2>(8Mxrs`{i2$d=K@nv5qRjTTHsJOczTbZ3%22vC7aqgWuLIT;0{7~lhn#<^ps2`C z@Y-S_?b$`GniNk7qEKyDI~s_9p$GXFVIy)d06`U)C-s^8yC2xd9d%qH;*lOMCvQQ3 zqHGuUf0^DyB4q!wb*a}U>;L9l|4dG|2X}b-o*%A2uoeskgIq9)q%dDNq5d~bwF!}@ z37D`!-;dAHrjvF5!`q`Pn8?#_m@d@0bPPYx7+ksj^ZPM{r8%%ET${@a6d=i5nRWq4 zH-h*4qTl{nEF#&3v!8FrcEq#bug``n=@ZM)c;|nQOm2K?2TmeIOc5%#3;5A*Qf}As z@&9p6ek`yFm%cO&NJ={e7L(T;TF&sMgbrMlRFNy6f+Rb5$=0bUBsqvy+48;aiH&6@ z&{#Utk9dU}ujpS}2}S#3RHZ@hjb7Y|I1Q49C3yeiL>*@oStp-eDWw$6F(GB>8f9o} z;<8}<6LB%KF!6rm%x?h#Y;fL6WnRM5uQn52bSI;Qz5Nb^+2S$&e0wugG~r1XAm6<= zvyb}8BEsQs-2!H3_RL4+QG8=okYMb~mI_rz*){9~F-vPBgS^jK&OR5)Q18Z%_P`StCxo(0J0{Jm1pKBDe@*)EH>Q`NmS4z8 zA1jJ}xy-YAkjZK46D(uK$ZNR*vVHqgMApfAgxQ=dMzhBI@#v&DrUL4`glfv9oq8HJ zgjt=V=$_WwBM2~!YE6ddew* z!&n1DPZxw&nc0Q32FqW3-+~@-Z?**fSZJaKbN)1Bw{n0S@7CDMMOH+!a6Tlf~w1neIz_?Z*51T5vjSFZ;wRvR78hgBjay>oe1ccQ=d{KR@h` zXuSmZNlZs(P~lZceMQyN(y>65OEZkGJ?vcLG%bA(m_CPCPh(3^kgd3O7x$hLjx_iw z6O!i9Jbg_Zvr)hYd7p6^kHlQhO{;>^8P0X5|xQ5p7(@e-@R=N#Bn# zPXoAqm#4fFhL0TXj(a147zU;tB&}TNN&M`3;U3{?mCGA_Uslw9SD(3(?*_GphmDq6i?KU_7 zb;>`@D!|x&ZhO3=+2cqN7l*{ii#~bW$X)5J6wZ1{igK2X`HMsvn$`se9tJg&v_Rb# z`@8UjZ2h^+6l4QE50A!Gee%uMwDa=wdo9nZfl`u2;g9lTiXnW7g_7(U?|-s7Y0h3f zb&p0D#^J}IwqJeU_g-+S*1%iCuHPX z(~oY=c!?1S36GcNnG;O$4y9~*+bw|}A)IPJ=?VZ~evNsJHiizPvKgtxQ%yw{7qw{ck+Fj7bjnurjH2(-+rp)ik zpFHK9++dM{@?2Y=Jdna;4sg9X8x1E8Y}yf00G^~^y%!g>nbr_aq~W;3Hd-I1?%V|= zcXfBh#?T}q1?wGWf4u`|S+-vR%$k*O&xcjpc>S(hwlc^-UxZ=7n)%Y5)BbXU`gQ3e zbqVyRGSxhTPf8S~t%-KqQCmvjyLEdnCDN@FcMLXGA(#(&2ia-Rx_nWhHw*IHgCwd#z8`>SYREyVBOKa>i&G%v>2 zU|BZC@8Lgm1Fip_UJX_D!!&;)Y;aftQC6{VJ;RG`OyC=NIfH6xw9Ct(3mlUdxH6qz?Gv2L zWf4%P(pjrZ-cw-dzYcb38x9H{0&^d49hy5k-K3SU>W87?6td7Iaf z3e^)sp~v@^>jI31Q^fQ(t%9hn>hoiBo?FqU#FF}qom&ryH<`xQR+4cp_dwOe?QJN* zW`1EV?eBnFczDogQ=Q{rs5(DM_`v4Z0!2{$YgZ*Pu$Z)xQpmjQ{c{uOa6Vz6x0eDc zZM6s=+Ow%#luVJAoTbCrRystQ&=Hm5q%&T97*W<@GZixLyrYxf;r{%dj<-VYX6aLh ziSo%DeOy@CAtOTDu*4uNNTP{0wTik4&6Iq``*>VoBLsmDZ8D}K;(OxD$bqK3l+c0tST0Be}BB zCk_@+^Qu4lX*wgF01HyQl-I==4lCgd|DL^jM##nK6mvTj*exMIY@RM z_@LTJe!mGOPeBFr!A>GrV)lV_)8<^^wPd0r=g&Nlzv*a(YhO|weCu9@>{XmBt+lq& z)4D}^+HV4y=JIx5cIF^SVWEHENsY^&ty-q$xJMHC826LjrIJi4&8jHdUw2=A^gzQciNxP{s$ z+dh|NQG-07Ro0pxE~tmY4LOd|^X#4ftaWVX%cTpcGahcr=QX%%^yfj^FJ)`@Sl2#y z$X|~CpSc8byxBB8PFa%4;nB|{`ALTtOU^CRX4>B?#Jlb#2gEMf*_qj@oK*3f`>qxi z6ntZ6xL18|ex=I9<^nt5Awvo{O_HHZ6S2V+!>Qr=*dW(>c6zip?=dndCY))1S?+{a zXhU}xgL*(_&MA#Zdz=r{eOhZxhImcSFO}%Q zB*mSLU?~H4zi(HEgLb3W9hy&#^>=aQ7V4ai>hW1C}t~7kRrLB-Ho;b%x&AY@Ppjk{;+`$ zL!kjA3H{dV2P(#q&Nj+gWPQ4zni z%I=4#esAf0+;);u*B3>lg_7%1H>aPb@h3i+tQ%4qJ9YTO1roSb7}~9l&%;CRuabK3 zz1%^a1Hr+%bFMtKx!@I>)r_9)+}g}3sn8KXWlLRchyOTmI;l3)>|-D=!J%(-Ytys5 z{V2%O6qZ759#skAMze<%w<1iU^fd^q()l}f8%JS-f{fa1j_OBFksHTsT_|MDgvT+8 z`6>v}cKYGhH^0~%fxfT%Muj(z%=tmNno2@KG7LpT1_g2UZ=Q|w79*2c#VP0d?Ife* zV`-k%=G!nP0Q81(N8P`*P-}H|rn<#ydp4NB1|a46*^7~(As{@3ggDzUW?i(fVTyt~ zSi}}lrfnYk*x8db)} zVfbzZYb{u@og3ig5PSX7*o)-#s?oXpwKP$tlX$MqjH~O|PeccJE{Fr-!fKM*NFX~| z1_$k(rt2@AOJJT5+&hA)a3{ls8NuE&4TuKD$R90FVAO`kUcB_*-x~MbdvwmC{ z7~Ei@RMtZiwa|dP-Xuke!-U$6fTzkGzngj+jL>~*1v|_=dxxZ;~$Sb8-~S>TV2n8PeGYiA{qg4H9};KKv|Oh89`M#e^Wt& zOnvGYwK(5PPMb!sVsKZ)=}2m7N|wv5OHGs>H0(xi8$xwAeVHy;=@7ac9IoO1#7p+n z@oq`IXz`l5>T@3;#hM1WdCHJLcH*;MerB>rB>6_UA)&OOzoLdAU-(!U_Y=XXI4+R% zOh5?9uS2q#GXJa%--4(4K@hPKbL#cMZO*V1ZsBj&ovPX*rWO}3OZ%q0qHdWJ*D=q1$VjU8 zHK)1?O3gT+r8fD`*Dt1L-KwO7&#u7;^6Z5YQ?%QAW zS$F&r@^(n;^TcK=)%HaAK$WJ!seiZV9hd{^3b#^xyp67%D|c+q{(&V{V|mkr@ez~I zV@6HI;jyK&BXj@dN{u7xHu==B{dTh;gNZ@+uX6-AWrp~@(X6TxB3;bLYY6sMkqTdgrrck3C4~qxD%B>KPSdFYB2IWp z;-5b%-f#W2MK!T%)V#ur_(lUKp&_-By2)VUW&Gi@H=`0k!U{+1t`U|s+o6ik(^6$K zcA!Q!cW5+YNu8FNMiHNQK{d*O)v9ybPNW~pwp6i3;K}B}7owC!HmWxWYmCK1Coc3pGfhEEl4GyAGD7VF`MtK1tC2oOwQk(7t{4{9@nsy42Br zG>zH)Ga;3x@PJvizXz@T;(?Ew9ecs_V^1~pvS6RikJezo!a(VC&O2o>uZWX=^#wOQ z)hrP?uZM%Nkh$l=p9aQjO`i|Z#sAkF@ZGyL6fwEGcSqO8{Wjll8(MLw$rs(7vQK%R zPwygY2oLorKhHP|HO$-{8B{4ZrfgHiJ185-A^9E)|C}Uj-5;;XH{YJV9-ggc*Y9@Q zzvk0;IXjCQU%qY&b31Y9N}x3*BvPnEeaVE8x-C7XP~=hEGvt0sqYe!Y!&XGEq{x?& zH;m5duL|Nx7lmJq-BA3(8D+IfGMtt?0K^P+Wk>XO zaGMWYPY8b1va`a9Ak?2)&&0rnhgCvTlh}Kq2z;Dpi)8wvonY_a$`VJb)k|L|o#`tT zVK~Xk+H@(msBE3QFx^#Plq5JsGO|X^qqB-{bN+#a?`u5~iCoRWq9vE?4^$24k2n}Q z8OEjf&!UDH3BPjFY25(ET?%nsGs#Xs6I$(V@toLNE^YVo>aztY+`g&W%~*aqLvU(PM$n4zU;Stek=O&|c+YLo3`z6ofq zZscxHB;n_edRZA>CFujyfmxq4=jp$nYy>5x$$yxcyq%sKmisrI4chr_)nRCiD{jVd zKMno>w#t!m2a2U(p9ai{9EO{SWFlMbTi<3D-e(m1nkiLXr)C^C8h^T-{+0U8LvYN| zJuLB103s)uib#kok*q)_8t@#C^f#sMG4SF~Mt%HR2uq6L*1AeKCQlRj1g-Jz;?t`* z37_$fvsnMl>@TkG_F~NB?ZbttP2iskMIGhGlyV__1e%8Lp7jL@DQ+2=aQ?N5uaqg; zsfx=bIWgJvZ2tcy@coS(^=S!(%h1!JUMGJQ7dCOB0?}OAvd2VZ^P)~8BlQn9dE8Wh zPj>o)F?dol)~gaVSgGMj++g<{$~J8riiW;hrUoTJ zG_OXXD&TSxyVxNHwR!E&tZD2IGf;pKy->k>24#+))FRxe7Hj1l~T|3vd zil?j7%tqEPpY-1}k{dfBY1Tbtk5T1u+Q~(`*d=I7a2-oGqJngQxKv8+)Y4b=vmP1J zLf_Jei-{g_cm`?1;K)?3XwGsY&eG*2jv{5Ll%vxBD|Hfz?WU&IvSrI=&6>r>$CsCv zb2uC`Gqb$Byu*hMty#6K&P1ANX}y2{9sq!wvu^c_>Fz7k)kxsxwl|bKbu}IwM4Fv~ zkZU(T?EZW{zxjB1_vUn23IIs79yEZ(1^~LeGLw9N?sCfatrfaW8QUUq@1t0N7Bk1S zl?J+YU)xpzLGP{}xzN$gf?1IK=*p#h*HBMkPvX-5i@2Sh`nnhZvLBp3K{PiSFZ*FY&W zN@cgBI9-$g06Z=!JZhvVX)Cg$-{x?vW$V7r2wqvD{gMMCZ_J76ixX?$hW5^Ie8*;wZnK&zne;!spS%K|Drj|VG z+1FAMqzM4v6nrYE(ZHxG9-Q=(bG)KD`XAbE-CUZ={dm-||1na;Jo#~tLa&sN0{QJ% z1Wkg<%VAfY8n-mB?ElBi{+g1*24w~Suyay!NQGuhI#lpoE+r_>Sw9{LpUnvYc~al3 zZWLuh#ClYByQ$#Gp)0un0D0#|w>$TJd1=hyn_sPl$o^e7mOoyjh1Cc#iXu&n8X@wX zP1sc<8n)Ec=e8p#>%_XIa5UMj#@=-LbfC|RxFGdfrSBqjEta+1k@FE{ucW)qX(_B5 zT3~s2Q+HYV$ucZf<@yJ4zg}PFenQjdguAJ9&1z89Xz#8qDbD?|q4==?D0f>c^BA>OHKdMW?Pk zI(6=CKQ}Cm4ZwPLKImMs=;OW?{WrFgYjjbgxo)M8Jvy4`+3tK@`3hfItY=UAtyL3R z@v<*W8L%q8@JM5(u<=(Gn=Wadw&W>uf`0#cIbt?RS zj8^`ipi))3Iao?Q3ER1AU&s?lD~FCMzvi>Y+jk!X1|6#Wcl+)G(Kpo8)bQW2#lvI% zwr$(y&Yf#zWo2w^JY~uh0|SH2n>UYkcC@s#5Fy?mBqbyZ^V5Ns)4@!=UvJ~0qR&wF zwVfXI^{eMUeR4$)Plg-_K6D`X(C)Kos-t|Sc2LT@=sDEwP@ZA)YOMwRvC}(H0l;M3 zC_M%MNEpvNv}EE$Kr`|(HI6K?+U-*y<07kZE^7VgsKuD@JlLLUF=#8FB zR<1et8~}3e9oVqz#^;)?e}<03__iN*EkFF|O9e@?@>3o^$`tq>j*yiJ$yTGb9b0dB zb-MHV>tB9o+E8Uw<;m>VnU!}b30?c)t&?~8U3go<;}*U;zA+@D&B%e;^m=R}p<_3s z*~mMsfZb5v=mdlkDQqc064|;~Wnx<@C8T#Z)1qr;0{P&Nq#-x_jiqrqQaO-VO zIgg;rsj4z1s1zzeh_a!eb@=CD&65>0yoZF2{m|x#LC0T~kN}*|(LoVk_%$MV6$PcB zsH3kTO$BcLlaP?tED$wMY7yy5)$uq@tCJot;c9$74}g<(%o&fc|?Fh)a zdSBB@(ndCIORw#`Mzyrmq%pL6b*i|q>n6**ufCM>MWm(~7{kLb+o}0Dn&$+3+?EMqJeO{V&z{z^_Q8C{1g_79S!+W(i z?`UdnX*)CMN!7@Ryu!GBlWeRlyZ5r4dH7`s2>@kr>j!kMn%%+F$V6{=SO!dR6DQnx*}AO6)V?#A8v5P$~6Le z1zB$o&D-{A+=(0GbR`K+esaPm1qwjv`}6zGOF9nIs}bmuTrNqaHj(cPX_6}0$Na83 z205tJh?vOyClQ}JOslMtoR5(wQgu2>$AmsE=wQwKO=D0K()z=f9~{2?$6qKpoQQQ0 zydhoHe2A<0uRgpUmR(Cr%ig_vucxQy;xe(gs6g~VL|eCNbu=XS@|CMQ1N_+>uDrb5 zi|5aili&JyEo|SuorsAT80x-!{?MYchP+(Gj!i>S5?@_=mh}8pcKXLJKXYD(68XHk z<{5VTd*9xst(CW(;&<^0PhNcGf@JTh1HTP2%V=&hf4@zwj>gs(lAHxxV++q-wXPOTfI zsGAth2wd}Z!K%Kd3nVnmhmRkrlMqXz07wW}UgLDM$L&2p+34qC_9%c?Eq-+m?(M{MsmESGhe~I)ltI@%>F0hKWn37e0f`UgmJsyG+==ZHzVzSOS)dlIMbx zrEvx1iNMxq-C6x-%EpnNKXG+fe9KkT;RhT5XuEKK$T;oFn*;aDdAI%x@3UVViAZ(m z@;BXB{!oqnma%oz2yu!cO~e|(|Dp@X2cZ{2c&Tf^xswI$0{CZL{jX`|-+wu?0S0jU zeaAknB>+Ibe>7fl`mQ@8mT1XQ z*_jvSI4ut9d3m9cM0w)Qg~ypo&fT%*Ty`JfalkTSj=ofTpUB5P003lO)Qn+4)>Z;v z#NnGJ``zJLD`R`_x*5`5zC7a{yN-{B4d+HC&*lG=UU;Kjz=dux{&dQCQ5FIK(Di0r z`S1HmPC@sa#MssHWj?8CY4`6xw6N&9e3?(}f@(Bs@x^s608sX1N$=TgzsQYN-vc4C z@Xqq_lTW0n85t?bnJ)0N+-tYBg}v^l^g`L*%eJ|;_#Cle!Io z=)bYOkGS4A*pKny!m?Sr$w_gnl9O4ja$ z*VQ-v$xj?>D~t8CayeTdV>n{vzSV=8(f`0j7cqPJmX_}q5j9M4c(Sb5l-!NCcJ-1I zX?Y`6{$lNLU#;DT-CEIpe_o-#)^90S2roKnv}(3kxLFbNhV9YW;iup??auHMfuoyK z>(T5dD?XfYcM52|@9L7al%$>Z6W_18wxI(ZD(=qev$gA)a}!&BD|+0|=f;n?oouXgTb)rYsKSnvOazMnRMzA2Lgb--5?`FLp?oRSy|aX zaPm?e{T{#c!$R=y;uXG%iI?bXsVm3Jezb4HWloPx<}yN-HwBVymtGG2jd_Ls4Zo^f zA-w3QDWa+mTILfuY@8#{V&!Sa<}@I4#cTFgya|{v_wc^;*?^{llE2Ww0G zt(QbjPA+(VAm3kA%QY#(DTiVnoLJ$~qgnCIjUxvvxR~|BE4NaH4&5sU%^{0lUQcc9 zJk&sn0EyQ2jlxxX9cb8rAQIB9Pe%k7<%*S=#O5VY?!)hi~s5w{r2tPuzd;TGbl=imqhPb&x^VK_mMr z4j6MRuE@SS)LKE3Ue|YYG}R6rX}4}aeD7$`MjC+2V;D{fJmsWSv!AVAy%wVEVQ=(6;(oDwcDYrQc^$eQ%k zNec^4wsF%Z(k>o(rfju9g$fk_RFz&nTL7bQJ0RAS#h!X%Jymv+6&ac16cgB_I$NoK~C<2y&G50%I482Bwf>T z+U7;(l0cY@b)YO5HDJF|$7Q>1Y6OwmPTQ8HdQ9nmfW;+MdwT4cY{&qpoU?(dXt{8| z|IQgR-O9J`pW*NS#b@1!?miSMNhq|NuzjnEDz*5@HqYPntzZ>;YbP(;!!BpI02N7> zpQ_r=P@({Evu}hXv>3VKd#9z8@o*b*PH6mWv#yQnOP4?X`6>F%{`gG*j!s)PS}70!l5J*f8a>Z*NVg@x>@aDYn_gwq#>=@Ad{^0V zo=(jmj+>j3Nj0)>_ifs3{%J~?&0lXj-_x#p1wklwp1*l$vxXZeqzN_J|38B0KcwQ- zhLIau?K|kKiG#!ZlzI8>ikSr(}1RD^^lCy|_O_mH2ML=@SIS5LWCTG+JBqN~YEFd63a?TBs zk({}!-RC`ZZ`JqXty|}u@4Hpk+PbxQntqb>oBaK-VV*r8{oEb@g@ghV$pz zT4>SvVuNJAv8!+-F$6Jo(R`?#8lBGA_Y!-W^C34RW}JkhXu?T*SJODf%)ax-U3v*n zx`!$_JJ{L~X~Nj67W4(8h0nT$wzL7r7MBcK7tSL$qCHI0$9c^T>A3UL_FuGEVSO`B zEuS7^3536Li*+`kc6>FQoZ;3d!hs){jbZ+@*^(wGa*0g?o>s<ZY5KlF zX(B;qmSUei$54YJ%N$&O6B05XKOTtJBGXb>bu+Cz5pfUJ)ABX6udv$~u^IUMaWnPm zIywQ)b&{ZQS0~rEIDzrYW0)Hb1N-I@`l^R21d?<-xLc=XeXX`nSVpqXC-uC zwY83NW^!aP)o`+GGjpK{G!Gs4QXJ#dJwst)x+;a|?HrmB)6B9Bfe!PPA<-q< z%X4QF+P(UoMXnaFmW-`r7FgeWI$|d-BOQsnd1y5$7Ic3@_@*6p5b%srPQXgpQ`}yl zV1VuF3x?PqScb20zMee zt||=1o^3u*)$gj_0H-)Vy&xf*uJl+-u`go58C1z^om@c zsr>+*ixoC_fNn!ZM;erkbu^#qxS?v1wc`1+w8R#Ug`u9sxTiv-EHeaE#g{%(JWXNi zlJcjr*$rLCYHv?le>MXMHfnT4x@&JRI{fbwmPQCNSjPl=dx)k?N<$V@knyUi1Z~b?%{y z?;9?CJ~!4@b1oVo$8An;lukIrNCXL4^JWz&BLr%R9>CK4EjWkAI-aR#-yq#mP6CEk zw=;6npzH7da1CWZ#;_wg zp9nne$)pr}FJw(v50qn?WCu3()kO(y?*wt?Kb@l>a|7GB&`pM@+O9o^JlR_CxW~GJ)RV)?^A+dtbv8m@0k6zP zKl6}CL3O;S;v7a)TN3K?HPxGaeb<)1J#XH=NS1C@IeYAd2P)R{g|@y`Egpxip$jHS zk-9qvm9zdfY0LW5eJjv`nNSMNSG|2dM&aCteNjLqz2^@sp!%MzV87;m$rqygGwC)z zHk-ukYP7&N+`TiCvfB*pD3vs=6|k#eUF;a9>7B<_)q0lkkp~{qz8{Hje?+CzyD!Ro z)tK8lI;!kUX6v&+L#R7O%FlrqS}Rf1us)}INuUS|uQ~@eY8;yL#bJ~j5}-ug6co~2 z9G`AEpMTt2#w-XY z&@IPEO26{B($rf%dqebiRcK}0>T+DfP5ku^Y_?2nnj{^59dj}B z{REerErmz8{VW0|p|!GItObRM6oQq&Pf6jQ!LDHzLykN=bN0w5^{>tM*h+;R<}Mg1 zpVz<7o8&9CpKZk3#iLGcY+;ABuf3l9d|X!Nw}=ex zYr{38Zy1jv-I*PgB$8b2FV0!B2n95;S^0_K4a6xCG|Q|CWoJF!ptw%n(02a%sBvai z12&vUvcue!OT0*Lu}}BZdb(abZLd*5Y1b$hD*GDmC#wLD5ZcXC3)Y%!w4W+zLh1uqZ~y#8`UOZug4RUNVGN=L9$H$TX-jVrC517rK~h3m6z^Qbtf3{fxSan@?vd{ zSC(z;RNDm^O?lu{$y1nU=}W}kHnRK7w>Y;(7)s{_&o5ZN1%o53t5^uaH;#`Vc_ol$ z$xlA=YZVYqDqrXKqSDyVyEuVK9H(7Q=(L~O&R(ARAm$LF65=+6?9--ri;WUwYxWlV zDT+m-{9Z)d@WqW2O~Znv35^y13c5eZ}mN+s+y zDC3q1U3k-t1w34A6dGV``0<3IZLKnKFDuJ|%;=c=igw(V{hlxVIB8R!1m21NI0=1r zmcqmH>PN&4qD(JM&7#i zkWRY*u`p5Zb8)G$01y?9+Q?!?L2{+F!Dfmn4oNi&yk-Lx?~sWv<6?gn^~50-U_H zJORoUY{~{x$81-JBXGlVp)gXA$KXtqNpPqv4+k?W!sNg5!UsK_Pb>zq`Yf~k?sAoX zerwd|?evqkYp~X3&6Y!wea`R1Fp0~sryxJ8=SvqojUr)gZm#&B?_>=pb3U${W6?#W zbrD>Kb>Gz^p#}E(XlNwlckW;vIrXsRW4<$o(sSxp;mXC(QY9oNj@qP79wWH}L?FRs ze%m%*iUWF+I9u8BGj3N|k3OUnb$vbf)n+`$Wv#z87z_Vp0jo|FotU6ky&mm&_+$9T zSIis(5+^f-i{K*c_Hbh2z=fuZo!+q%>&6npdcoJfKFadE`td%;yf>+}Gnyv7AuQms zD}Z3?n;lKV)$z1631({yomjAjs)T^>VknvODvm5ISlB-4PqdX=v-LtJGcLqfSXe)^ zrKFHI!S@zYEwdKCP1~D|e13j;HV}}9K&<4L7wc7q8DB0@_}*O1uYr#@1G_AKI4IL_ zzER{>y4H%rfT*cSu^P^MuBO(q(jC8fHM3zhUTUb6DVk_g)|f=5Doz^czCHCPgyAyK zW6mo)*~q&9wiyQW?V%@#oDC^_R|fW<{mh+qHeW4oz&$bvB+{1 z-^4e&7o)CT~* z-z=4E=uSn(qV!|x;OBR--G=tLMxWZ>A0yQ}jeY-gKsb!Hh!Ua53OT&qtBaF^?3=Uf zgyiG{uvN9zm)rqoibkG`SVmsRI~ILu9iL()dn(L(PgmkqPh)RRV++@t4l;KK8+|;I zIA3&DSqRC1F`V$@|d(hAY*05 zs-~ulQa5Jy{6UF2D!I`XAmu-kI%P>WEC`x4K>L zzWp&L2NJkFU0rEED~ejOh1L*_)hn=I6IE7Z(j4Blu7l?oC|UGjjtUQ!J>CtL1{Eu%Kp*YDYxt@qmc(sjBj)U3CB8A-vt z3eG~L!9X`__D@5PS*_(8)?;xYyQzdn{@@gYa2YPrDf{8}489cqwOq+#v!r@|P3k7~ z`6szAuexuGy8eC)mUFV&fnol%Q^-1F_xGpRyw9KCf?ahyLniA)8-IC;CFZeHtU(BF zVXbC4W_kYRYM%JK+4$ysJc>c8X{_9KwoU-VXRLv$<^)~9tz+S113slq=l zt3T1&%0U!=E6%n|r zH>7agn&9<38r2E8hZ_tw7mLEcQF`#BY~;e&3x~eXdEkh$K6vl|w=;@LWBcZ2iJ}=7 z^_{eew9Ts;!s@QiH$y;D(Jm=NAfUpV#g)OIVniQ4;N-M}Q#;44=_y!qQFC(i_Vfgk zsR%Pt3Okyp85z+hBqd>w8~NBlF!M9r^&R^J#xd72J&XpM{4N&iHcN}r91d3dT0zuc z-246Olf0UyCi#r}w4HReq+jr~L$k!EH2dbO;~bDFbQ`_hzTVvYl4k&!3#)a&|G3gy zVy#ZU+279*Bymg-gv6yaOA!m7KgHZaWx}7CV%4y*?4rMk56x6J>9ME%%JtR7X2W|B zI2xLo-%%?tc++?^_M%w#ORyqWEuv89_vP7v*Ww!rkb7th>)nx6!z#;d_}n1X)mS&} z?>ny!WDl(NrBm_0Cb>F4`UKVyB&&dhq!;$@ir3#z7@2@9Y2ZF-E}(KSqM`aLPno7) z>gG~ZYVCZY)`e9gUj;eqF`p|ROK;#Y>vp&~UIrV>EZS+hu(t>I@qOX;!T~RU1OnE3 zS?1riL8^Yh&8e z!NK|r4vk0J8LHB7SKRJyiC$FN&fN9Q@4VR^FJoZWDJ^!R@;g85cGrU=#1rF9sd7Q- zp~}2@089#o>P4?qHU zok2c>QwNz&LKyrGN!K!5hyZxuK3E%Ai(oHZXujTT#tpu=GX#a{z0w^U1~r~i+>-~C z*aB*u=g(W|JZ4%Gb&R?}#xyHdfhQ=3nbToOx1{iz)ofMtqUsDJoX(vxKil7XlwVcy z3~GGZM&Ws}UA_EJ1D+rYuHKsZ8i}g@3^%7;AXQ=v)_XXt_Gk8PmNoZ-6VCSF0b~K3 z03^QgDSE8<{<92bMR*0`4hcm1`Sa&F8;#&@Th}B{YVZBgM{6DYAQM7JBZyD}*|#c3 zIbBd|n4Fv(^OJlmQBP0L%R=$$XaJke1PuSeCOCQgp`q~^567p=qp|=(kci*!w?)&6 zJOW9o#QppZyEZ0khU1f-!?o-H|2>f1`JIrp1LX+`Aq2g3pFe*_Wj-?UyLYu4O-l5? z-s+5HU=Y~_D-pt8*6;|~u`^xmP;Sy1%<{Pbdn06-}k9E&tp%3U=YeNS^;rpg>mia^V9sKlORjZ#C62 zSS3@G&Xv{$B)aIjIRi6Yg&yfJ^9q1(u)`VrPo*hHK+bZ2AC(z3iV9yH4r|Q4Zw!BWOd z^v|=~129sv*M_fQ6uKNMC8^uw>s9O)O(Vqhda}a&{iP9D2bQt4G|*76;UGP9o6c4N zN#uW}ZWPE~TEld@RXMQ4#R-D{bb9{!uIuicL~av}Lj&&wfO9js0@SGc>X|S1-D|}O z87q0w9P$aQs_0KlY~2|(_-bQr*mW#~6dhV`M@ZfgdO&z+ zUz3_~PJUz1CDQzE`0V~~^pSk!GisA(Lwn0DMS2CF7bVf@QK=ce!+Ml+)cpNL%zjHf z!rmohiBrDkhcunqGVIAUh)4+gBZkjzn(hw_wm5MJ)~N;^ZAO^PZB?)f zd1BqV`bReJ}1`W#QlMH@Q7n*(i=6 ztE_|`WtS(-fehN$SB#EM8h3Lq&B4dXzIoh*SRCq+k3{_0POp1_6F^j z#22`WR+467n2f#+53@v@PB&?+EySe6D1KW{GFnJELE%9fz0G-Vk968hXiqh67rvwh znZZuDed7)vh@E`yknmDRY3joLfnd9RM17#Rhp?j*4qC!~KY^DiL0$2V z*TCB=`(sDsRT8-OwanU`699d=XzDuvpLaIau0-oqS}+IDOD+!1z(hu9M+j&fM55Oi zA7(Frn!S8oypkzT?*;u0>hXD)AlYw{Wx+UyI`o0}hxFiMm{CpW(wz8y|K4JIycCW{ zM~(6W7ca7;uV}g&SRf$K}?o zcek5Kmz|sZYNIpw&2D;SprzoC-QB{F2E1M-6O2SvX8>%S0xb52K!Po@N#ewkb9tTh zjl3GYZTuTSx}i(k_jhFR)mPOoX9P8cz^9XB?NUHmL65w2{d47O7rhV1Z_r1chn)xy zvUP4Rs|^!+#vsOPY{pAl`3A(vPNuWRx$1s@T(P^B=e1`jOmDc=JD_m}od%8U)=Vwm z&VaJfi?7yNj-UkQIrtU@RhrGn5#6Cc--LPF4FHU90#dtE^R}1W_S9GD$h(}kcVQy1 z#<;|T{U!0<(2f@MK+ulyfijoU+wA~)hh=24vBlxgDD2(owKnu+tf#fAzM<7>mNt_4$kxzt%cH z7`UQt8wpLxXV5{BPP^RNb&Q6mLpBp7FRD$(iuIhD8w(F>2mH9aE}D2d?PT4Ob^6L7 zji0x+)O2+zQGJ0^fWPKCsH{Z&B5N6k(N2Us{GHpmwKcdC&xUL~Kud&8BWU~f!cHsq z4L${n!?;+`w?B4Vh2ag>VQ=Ol{+A#<@I}%HigTMT~z|&6aHKH#gLC9 zl;U_!8cAkU<7hgQ&8%hCmnP6!yOx#S6n(brKdl+f zn(h0@i^fQCf>g0jaZaEeeG83VnE)XW`#}D1eK=giWfdXR>(1KnYI@+u0Cxscn-7C_ zW_;RfcfXz5wcj8li#rOut>$^j@KkBy(%#_1M4wL=rLKIVF!E$Xb++e5tF#YQV}Fpz zqnEuuq(0o97D|x{@Skyrwf-|{nT-XuNoCGn^{o?)`V|tH#T=R`r;UcZ?@e4 z@q2=pSRd&w!dR`V+P&NSdW3^c9=YRMq+Nny4?1RFej;c*U5bN_D2n%Am|H})Y*Or@XlqT*?X{v!tUM_f8)TH9+)yWN&GjJQ#;xKAR;Tt%NvFPi- zAQpd2qM2#B$G>M4g?vW1@Aoi(nSf4|e}~~?;irg*2$QXeFVX<;#s~b-8uU#!e?dBC zbmU7UwpEV$ic|AQVK@NPTwe7JqMh3cgvrTkdMuj+TOoUr0Svx-(lgoIqwVw8$JIH2 zlv=0R-)#BCYyZN{O?)xSY1Bm+#CUK=Bt_TNej&Y){~2<{&WU~TkEMXI*DL}4;=9=a z2&S}&e73~~=mX5U;mjy*p!?InJzI-r@vOp)SHk5a!pyu&8%1TSfCgIwoI!Ni!_gO0 z9^L$H3`q4#cY(xa@)*&L&pCin-(kot%ztY!xkOq0C9R63Wb!LuX9Vj7U6`4frNfEn z;MJ{_)|1#H;wygO7;v}cYc@dubd0>H%)|Cxjur|AjHakxyAk9t)`3s#2VUrjPD$aP z)8$y=>+6$d@C*e(7C%$s8fF`b$wwNfKBxY;u+YV=ca_SZ1fg?A^XL^WiXKa|DTUEX zq?CuRfBmDG_!85!ax>@q+r{?qO2@?~Dw059D^%A;_=Sr<%i4MKd(c%dvH9*4;KNWF z!45-yPw>-9TPG(ca3qA6)*XK(?R`Hi)Yk{ZZxlfDJIM-q6@XPG#zcY|g@fuL(t$}D5Lqdl_L1t-=7ovEc?6qNlZsygkbG4e`j;(kNHnw|u zVjg@^eYt{4@Lh7V*i%A!@f6v}yH2xioxI6}X2=wH1zymKxTZ?5}h? zH4|84_9k0WI!)w8%i3+xJ1Y_oJw+sRwkCDIKE^bi_{=Lb^j@F|s=}BSwB-r}h{VhTss# zT!a@=|3XpwH1;)&e#9;~@1vnK(w^~u3eAZbB*p*sGO9145e*IEzd>)h|IJm8b2>bY zLF^PE5{%%k1*}cS6_w`wbYZ8Lj;D*^I^!JEd0Eo~RV(InPTxseWr!unPlmzQC4AiX zj}P$FMiw0IBX6gh4sSuSln9hkA2D~TkC4knQKEDUN@1Q{EO%kk@Qk{{CMuY(+hBQ+O>7 zCDoTka>$JW66=gCHtxPWw$I5<^o3Oc9$*yMUr&Ft+jl=W>pZhokFpIbks~b+>Y;e` z7ZKbY4P{ZupagV$UY*6{w^3X6ZM?GUgrO}_z*yB}houev1qO-L)Hm!3yyaBisLfks z-k}ZD{^Y(d7iF^8_GW!eie={(+?TVCF*JFgs4i~~5R!Tgp1k8m>G(P$8XB;Rm=sDK zI%|ESJ)uLdwq?~SyXhp*%>$g`CU#d;b9=5aeb;Z-M|`gZqx+L$;PcQE=_`@MOyOI% zZk@Ig`?q9z%)xq-`u=1M7nZqgj{Pb#mg?#4MeD&E7&oGD(6YwtK+Ib7N%RiBG@)t% zQI#U%V(}CCx!|kSa2OsL3y;&%_Z0?(lAwz~UQKLsuxwuY-K=MQ4*q6ps~^}j@}R-D z7qAF=8ccQR)keR))Udr)I7}+psc@AECo=!D)M4Q7BGBW$tEKHghLWi)fW0sQ8qnj3Em7-{2dh6*Wk9oNR;uFUbT9Z5=7lxX&{Fp%`SM8NZWR4*jaPK<$gH-))+<7#B|-TROxoXU|h7 z#{e;Sj`J{GTSasov0@wt-`CaCLy?M$@v4%rsB_PxF5!0j%K~kGipbOKAj}+DaS7mcb_bIAv9KM zxcTKFTdduDQ)ZTgkGi@#obpJd#f(2(Fx`}ohe8*l+-jI53-BtQMRDXs>%;l1I7oD` zcCU2li_ue1nNGXM!D=4OpK*mP-L%Mqc8@DGpTu^eJcx)+^t%BZk@pQ~c;^6gkYGYC zg63LQJ&V-I%Bcipc1Z=R%RP3DKn^8!5rHp(Xdp)Ij1~Cq=DK4)d*@+ZJ0HU773dEm z@5N3Myr}FC)j4A0dhlT3>SW%s1<;q{(1LeBmdpMi6V8HNjV1xJNgHPDwU`i{Ko`d+ z!9|k``N9$@j`uYl>EKgLJE4~~N zX<_G0LgXQ{%;_XxHR0VoiR?h%l44hv^aSJ+JY*kZVU)7>IS~(sjgA699uvRhdniUT zUQmtj6zqrEMf~c=Ud5<#n9o85e4X27iXwbOSI}WjX?L!163`2wj9vtLrVUyJ@4yog zm#KLL1p{sY#eR1f#2`9>Vo1yk7QviA!Bp3xa6@HKutOnSklP`DV}c+(^%HJ@;a!kr zzqoJf*Lm#HyTITTb{!FSGF*pX?^+u}*R=ib8p}q!>nlI1x|M>nNH?4hqz(rGICVz| zv1E$)DJFLAL#m|IgME)7#^R43gHfj;c0Z)_VVL=99tJZ6pMqS~j zh2tq_Fn>mTp+!=*KXMVn8=Sv=Y$^T|A$>6pLPIle-tv#JUfa@x~kF52p zUXy|wqqYV>^l)o39*}J;a+%m1F<+n^y+o3PhqUFSDEJQ~2cbD@sCmcFRKEC-eLDh$ zTwadNJc5)Y%JNUKuCJe6aHvKV5h1zKO*eP=7nB19?WW3|mgP`#1^E6OHlQt_^;(HT z5vQ7vtH7^+v~yD?3DU751IFGoD7!b0GV;C;? z8aBHA9^&ML$RYhO`qmsxLW17&qVojhjMr--1+{GK>}FF{R^6X$gSDc;SJLseFj1VZ z9^ejtBA*pT0*IOfh&UGPaWt3ZBDvcB^5W*%&>%bzN!Ip5l9j~hNCBmXldbtdP0uDq zGwf&UP`eFaCKY(-kGB7}gDQZ#w}Y!t9-^Amh+B+?(hz}&X#hT?2RIFf<3pU$uycVs zfKVf7%|M|~Wy*4txRhyXx7-e%EUESam3E8fqJ6$NwVG5-s>13KWpWD@MrZ z_YSxcD473{=-sNt_M|icM;s*)lartBE7X3hs!9MBgfE~dfB)O%D1j^Btk)O> z)a{l8HjUfTc#FjPA?j`~buElXVFuuo*0%Oe7ksb{>udTHNE{Rl04PYVir@^Galv|w z*|m!o5b-Js1Ut;))%%qoqWDbsY{_(Gkxso86^~F!&_O^w%Lfpu@i^HnIhqobNzv^f z2Z{hF`ya;?qrjV&gQklh2NMwMSPe%>)jjb`drOhr6+le>zQAIl9fkZ%#Ro2N&naZL zoqChbED%?flv&VnoucrZ&k`rQ(?ujPXcCAF+GR${pz8*Y-NOJF@I1?bEL7XhyWwi` zJc_yC3gZnI;%B-eaGhEpi!mb;p8=uXDG1B^;>1>)Cwme z6l@nP9S#&i1L5BeIz=l0Lw+*D!kdmZ$6Z$9{|ZQ86{)Mk?+f-hIOXh35hDbX1T-N>Ews30EK4HE>dOi?tzMWR>Ut)+ zg3*PlyWiir_ry~xf_gffr>sbCPF7seR&Gnp7nBKcXuc8zm_4SJc75;=cqqOAs`>kZ zsENJr=x?=`;O+l9s2o<=B{)I*)GUb`--#rZ*CJaEARwig0XCz`cJk3G93cbjK4PGk z#R1*MyXPU0-IqD%U{#}xFvlRaQ&sQuDjUv%5|lxLRwe3rLz&RSbi$E7mf5lmK{eNw zSzZ9rJW#N*V!JqDsCh2ynI;;L)JoSeMJLm|D zRpEsSu+1Z%iF)MP)eF zkx#ODt4N#^fSjLQ;y|FCqlE+w2gSquZsaf`mmVm&7=7QP>RmHuI4COo|V?_D6q$}$5@^Z9OjI+g?9aEHo9_e z5I`K-6{w;*jtuQugNN8tICAd^?_sND2@b3U_6FTx&>D-B(x@p>mPY7^>~Sp;r?>Ks zGr{`i;#%?%JARjM%3*?JvMqfrVLe`Af={BA3u<@tnh3;kFWDEJR=*`KJ9L5rX8j)B zM6$p*PorQy%UachKFqw7mxH-L-7npg8>3%^+MoE;GN+incj~cckF2bOO?O^QsB-LV zpnK?mCFhb9tDFca|$FnW*Ek@TLce<8h z?rYB2%NR%_N1ulnF%op8iPkh2>*?%LCuw9$^fE2HXc^KUt4}L`S0&tTZV?HL5+e}5 zXIHlkVU)s?hqYC1QNW^3_iWqkB2qtZ8?Qm3Pg-ks*++n*sMOSp{A>8Db2G+N2I78f zAP_zL4>!Mjw?Em&i%>e%{u0{jyQZuON(5^yS+B7ijR}X3?u%juTu`}Onr7ac*EbSZSDc9(YqZQhv zSV3QVK&koUAP>Uty*sCKl^-~H#89b*POrCOe;)3g;$f8 zWF_`~3VLZ-D3yrhZ(|t^oga49Arg%pSxz{y5H=QBlwBhWGcRF+sUv_L-y1UOt}iE3 z!eo1_ObLAb?Ra7viTAUg`w7^=XN0m-W5Y5@lTZa0XX7KAd70(St4cHE%DjRsK^WZ08FUYQW zUjH07gliW3<6SB^4`q4{@W_WX$}EL&Wm zCvS_(j!{bn-54gQXR@pLl8(v#jIenzG~XDiI9Ft#XgzFKx1#dof?8WY^J{b#)NHbe zBotbJeRS65Ct`P6Y)gK7aex;0+hL3(U7*cyUdcA~*Y3U_%6`M^EB$H*xY>$+3^ZxQ z*03HI;KcVrg#8j2xtc0*`}%HAK^m`{&hI*CBu3&n>$Zc!dAn6lsab>Kr7kVFTv*4OLh`w&KJu9QBX`D--4K&U4Ss>v2z?v@7;b1S6Vb z(a(hz>zH9jn)Ay>H!{{;DPjdz>Q2wko%fu6xN?K_7F>K0s@#(5z6Cp_S)_m6)|X12 z!pw~z6heZx@lvX8+%cL%_Nd`-Ie4%XkM65?2k!Xu_d|Z8w*PjC4(bhckWHI%^G;PuJz%RP_(cmhn z>S-DKy}_n?>&doVLG3#Ka5=49jb(buF&)v29#lcGZLUT6m#eL=f_f4GWDdEo;QeNg zwyiSgKAunJF-t?^NHYojiDKuDBx4GhAg59B)x6Quaq7I@Lwg>0 z!ni1!%iAU|C%afyJnlzX?=%WiZ~l0X7(${7K4j6ggoiFxNp0U$kOF1C%Zt5G@5H{X zh`5AFd=yc4UO|;KUua5~D*4C`_8!ro^VsuN_w=i4<`>cvi%7V4v4hc6Mbk{+R2i%I zTq>;3C#c9qSH-aH(PB}{KEXI0iQs%cQ+>589|tqr6X~Sn@!;};qnBWysu7oab1ZIe zrlq819?#+62zu1awJ8(Ih_gsP0ikxETQm)2JT*7r8B8o+-43lj_m1S5U|_Y4DCrlV ztr=LEZZQU~dQ+q~H>2&&w)AOQBB|hjziw?qa^Pf3=g(|yIh7BlK|&#Wf|hUu?GV+2 z#g?o-)n!hUM1Mf0o-XS%CRq!Gogp2G4si*8Y%yU1-+MHZ33@@MVNB##aM+DL4_tAi z4;hA1publ3>|YH;+@upUP{=bL#ECV{cDrzU@IrMRfUgrkSdW$Z#{rCJ=Z)p2COjK;E=m_XOk$ zOAz`p|8=u7szpf`%nY*cphF8p+4@S~ym@o^CpI9e=q~aD(0+JORATRYgg$Uu+?S8} z`!XDU8;Je=m1kQ26W;az6~YQF4n+bHu^*7(l)%V=k~r70e7L|3zFHs3oz(T!zB~!I z5yHSGSkP%c*W%dB7W3eey8aVey8>54y#pmVn-$2wH9hq3dVxPz9q@TS;_jcV1zcN( z5m2=O8bjpZC!Y%w5Ab!?0Be?Bvp?{qyAa>Gb4S^z9hhVP$}dh%yfi}guR!miY!m`5 zxc+}ycwk98cK*ySo9u`_{z0FIQ-h%NLZ-)cdHnQ;>kO z1*Y;P(9|nYN!g5X080HC=vCK&flKwQb#(aA=CT>yfQ24MDq z9mr4s*pj?_k?h8c=bL4gK>wr#JUZZK-Z=zZOapQJ4Pf=D1GBFW^OaI~g6enbB7vI> zjtunX_vQA0;V1*>Y`?ykb?L7eg6sfdC z(?J1z>#C6Rqs^{z({_}_A7yj2$vgp0E(_qBax=<@t`EY$meT@Rio5afHYD43A5X{7 z?GDOEtp`ljfQGaLJu8qK{s4;vic$z!Mfq8!Ny^Q8??V0>1aE+u7$-V%9~kw^eb1c1 zvt>K?JOd)9C%6H_ zP?UlLY~>mt!lfL)lgg_BV>`-)=iq;kPQ50v|KmOy(XA0U94?w|&kLeV|EqNecs4Jf z!f0HV0rngfA2%mA*T&HY+(r$jITAA)s1*Swvatd|nEJucgZvm^@%BBbpC?3dXTIQ_ zs0{)#>0db+$sgeg&ZYFt^;HO%l@LN!!BGq5CTdX&xR4ybi{n;hp;=8}?QWO4lo`xb zOh$Q?1-Q(>8(M+m?LLDTV2?iMVaDpwFYx}I7C8#TssZyPwSclZ>)`fp1uXTz&E>$2 zBd`cK&UvlzdLO<9D>eYsW*}xu0@`Kur1?eyEa~NObu)6)_(l{pLGpLLVrgJ$dcL@H$ros_W`%PiWM&fe+c}!V_LpIAM+@m9Cu6&^T(>|mpi zU|_^<=^-=hjBBjC7$z%=)qF9#TLX;hK|GoRB5SnteuvM2VA=-=zEj|JHQao3y*+;& z4MI^!wiYlo1}b4lFv8ReK4KEs&a?f`*-#4)@`=`sv5`?fIQrIxa==Yumdpn0ArqlW z!UH_dCvXi;^zK;6v^0frGPK|e|CFIT6egO^_n~{B{E}CxopA%vhx=46Q3mLF~v+dqN7h;a(;Yd`J#J=YJ>+^m& z)Hd}0andIhYq#Nm2-E;GAiFpvGr_De!^|-xfJ~g>K4mrI*iM+?Hm2tbva3@v6xQ>o zy&?)>#x36du zr^JV_o{!p(A(-Iw23ZT*&(xqM)TH+}1ppabcr#yRrQz6$MWJ~mMUvszhSwT#hy7)- z=szCrBmMvMa7!4WJlqKh37A6jzAioZ;~-TnfYA!!*Hhpu;{f-!O6u|3`!TrmK3iWX z9}f8ahc|i@Bmr7*r%?Z2ycA;q`uk=YE}q1Hv-PczHpS+7HCa>z2esa z$2;Omg&X}hr};v!ed&`kBNkSAn2EAra_+7)^=nMhS6bha`s{PidUfc`tQJ;CT;oWaRz$9o6bWifcivUm%m9@3Uyqe9lwYBAt*Xj4$Za+q6;;^ao z{PS`cD3;~X2SDsg1!#h)Ft*a`z+$*L;HJE*_D?5G-#aqakLSXDSf+UQ&`6Ww(1QX| z)^{aqYwIJq?w>#T1HhD_*>MWMfU77>GJ*dKaQYg+npWARr1{UYoM(!A4WXukOlc6H zqFDfTnm1r;>d85XjkjlJthfKU9T@-(O|gmTX}|FTL%&%>WaP4bSKAwWWfhfvui0h* zgPcm*@BDLZG_M8F9q{g`y}LcbMUn)$t>zqVu56eM#tnGS&dy2|`B+u6_^apr>*Kjh zlToYlz^i@#^w07t7{tT%*$43`1WZCfn?r@8o>r3AzaOu&f`ddNpN_! z>M$YY3USqzUSn>Ife0p;P7N3O^P?O@OcQbqO6Q`9uV!2V^;$iv$u$djDTdv zm*PJjxl$T}%*^74lXh?Nf;x&UKqamLs?J=gg4H<`4&WY zRBmoJYkl8eUt|MZc>kCK4f@d(e$8c7*$`wb3%|uYyIAo6%Ki8CGMM+h)0_5vf0?>L zLy>3hTYBivo)$4HzfWK<2uC38d!;MP25vuQI=|3_(CA%_c+l0|3ChBuE8@8!^Z>&Se+8*L9KvR{#)c6 zJWT%2buZK|cIk@IohyDslqfcy>WjFpdXccW{YOz&t90mc9mzdoBbk+@)kmu8KAT0D zI(3TPiaXP=N5)y@O$v@BiA+Y-NfU$@ZsSOamDDLd4eL*M>s_cWCq9 zCne>9GyIU5xx?HLW(LfS54pLCIk}wm@JaUXV0olhR#f~1133gs%RoaGpc8YC+H%&v zxBeu2n{g7U;H}d%jsT;AtHAH$IBNj!48p)s(%092eW8P8Oa}di_#MUxKMaB4oqqVb~jZDNzZkE7#K0M1Uc$IYTX&1sF~) zEG)dm$(86jXe#nJC7bQk4%MTUHtVuvf-zn`ul-l?X=xib7TSzu?B&e<(u({a?8hHs zRR?%`*QJVkRTLB!I?sy3`#}*}4PcnrEY-_F>z}T4eW9{-_+FE(8=r6|J1{BYmdRuh+d{`pol!yOWjqUSOidP$(Qx<$vWJFfGN*Hf=ck7y~LR zR1BqqpL{5Od@m=J&r%dDIxsM(0M>_ELbMp?Sk%i9xjd^m`f--YVLIkk32x)x;i~QG zCqI?4C1;z3b%w0ub0J^rbXpxS!34PT6&7E?=n&@%wz49gK0`7q-rw%Zk3lyUC&51N zIR13-F>IKS9cIUzsk!&=?%lg}{=3jdfQ?dt7eZFBr5Z{1AhvUz(<<6`x(e96EkJ)! zYP^G}Hfco<5RJ|$dcg|q zbzur|RXVM#_24GQcNtGnKY1VznD*ibo!>P4`uSPU7-oZUFoBG<<5df;v;?UD(~wyT2opIZ%_*RRA!|I?8~j(S@Zm&#vSZhPtOW;L$hT)#r6u zdJ0N1OvlK}9PGi2tnb)fYlwwuVDLP_BR;-9FwnPiuE@%SiH^o+-a{TA7e_kDd69r1 z2)AQ>{;FsC?&x&>f8eE3W6=>40HIlch<&J^xOu6u%=m}dP{{U&C|33!@WB{1C#yw* zS?I2n)m1scLAU)n`uNeuULl@^)!iKw#~{ys-O;bc+G+Hz>-7PA$5-GCtMWne!I z1psUNyfIXiR>FG()oR8?AEqE2L~W%?eO2d*xksm$06mlM0S&@JM+49(AYi(&&-6li znb}U)=)pQZR`wyF!VH@8)o>K6ZP`8g9@zJ9y7&G3iJ1OMrCaNq+uWD+UdWlMPj!fJ z4^M<^{b=xsw6rvx&KnyxHa2fS2YdyN7hp{&^Ztr}!L=28T(k|g44Kybyau%3{EiEc z!4Hqn1|#t0^@VEOq!>OH-4}2oe)ekiQ^F4p^G&p|V@d!Kriks-+^-NrJRQm;npQ8K z;da;=+*tFT_d9V?8M9ad;6D$vsDL&iL9Vh})q`E^X3*GsS7uNv~=6w`&;73oq22TYTOlN&s>e8GX)M@|FkqAE)=D>LyVa#@_ya{En(%i1zr~om&I0~1-)z!%Btjr!9ak=dil5 z5e||ceB!sb!>9)PNlyZSzBqpQA#yBM<$*1BfC{L9AYcHAO$JdUXC*d41j!i{BnT)u z2bG{mYLaA>3=))_5l}=x$sk#BMskM#U3=ei=D%}mre><9_T0bfx6bL>?hW0&*80Nx zJ?|47j+iJ^0sG*;1@0-|YT8241~iRMTNlTD~lfB`(i9tJ}oLZIPyxbnAS36r>0$BC+5pJ)`OAR4*oRyF~NelSy?v# zD%&axx4PzGNWXoVNH3^#J*1*q5(`W&KF|`BQP8izB9aO$Xg%aB_8v@?gkFC~(Qn|K z2w2EF*!I6v+$k>wqSuIBE7!X(N7()ER7641ew~FSf{Yf1=JXSsxbkEGRp{2ZmC$(Y znxv*FE$4R4DP--F*odES}3$_aw1@%+|Z(D_Nlr*V-4bmx>QHeSRzUxKwiC`E~VNyq=7 zCM{X93mQV{;H?2;M)G|**aX3Tl+!VR#&|nw6F*q27E^5C8Af45&}_GE-47t4)r7Xr zwU|@&C9t2cfzQR)%b1)n0jqA+G7WnFIscMfZNr_{07YcN@-7JP$>0JiG-Rw5yh>3L zemT9D6Hq1d#se=b&Cp{_=7fRvJSNa)V8ejbRx?=>E^e^GJ`>>S)kYHOP!!3i!^6r0SO87Hqglu@iTZHSLgq=*yd?}Y_R4- zJ$L};kmHoYd#9M56SVGUM>?0{V9syi=;j3OIMwC~hq=u8=hc~D`Q`u9nuzMOo9m$8 zS{c#P(9pn=hdC!~;go57CapAyH%?9C!tPXk1rgyATuLV|)T#IGDRl9WM*gAaq{`S= z>Tvji%XO|<^Zj*)Mt&s{OtON4qOx)?vt98VYK?$QO&_6KgeFXz6sc+$v@&#uNFYy=CJ~R3LuF3Pyzqk^#`p0w6 zN1_2@REDmZgFdlO1^~6MU|p9A!e^?PETl%WHhlX1Mzsn0FY&R7+ z>M}_L-^=_BOx1%Ib~OYFJ5Nv)*WJ77L=^pB< zImYYJHfe9k35c<;r4oCkxSNnr+U9#=g~JN+8wIv+Dbkgh%!ZIW7PoF(gR;-JrDQG%YHnwolcoK_}7KUZO&fZ=_ zRh8bA-ZeZ;%qI5^?t3bJ**^Jw?e&>B{c4wwlXj?{DL65lKb?-wBfI^|je#>Yb3cWv z@_}zt)25R-XQfGMW6 zy>2&$K!F!!a>YQ&8y}tW_c?Z7Ywe88iwn`6e0p6v7IISPbh(Jz~tQOSbZ4B6UPVj9sba94r7Lf#G<#5?%Y{G^fQry6+n z*_qnUa^2{_f66f-_I5RgnAg^!@$1(c7%DV~3KYTd;`@&uPWohF9c zc3)n2yp)|64}Q2s7Ndt=-NC}q@5?hGNxQh44`o?dnJi-A>d8#5i1a>wp20!+lyTza zQZ;F(IsC@me@|6pp#cd{Wj>+&RhU~n@BpQPOtF<1;pKb=UPk!YPN)|YN0;cS?FpN- zq(grwGO%`@dTIJZqfT#Qze^1p3rimJUWi<^htTii) z=>^qNqL@2>v1K6ohoz6du}>&oE7NjJ8W1_=+69Du;apGzt@Jj&={o`iX&jR)?l_oU zmabF|%D}w9oy)d@(x*oX*KW#>p8D~!$QFI6BaAblCV5{Gu7Tbe81~4 z4AWcE0v{M@TNa7CV5;&$g$YXm*L^Q9FSx7oe58?qWDPuCIaM#_UY~xz_+Xu6)I9KN zrO9MwQT1dE52&e%t@^J6hF+0RXnqs4G^qeF_)#h{ImS4YZtI~+gbS$nM^x!s=CacI znUrsQor5!fpTtqO#9zOVa*-HwXiV5NYL3Y@xa4d0cY#o=+X{XQ zsf%}fD4)cn;b7k^zn0{h^LJc2hmpW;a$sUZtZpCav$8dpdE8NZm8xs3#XN5W>J3T# zBg`ni?cBPpO)Jg?{5)7O8V zx*8?V;LwPRGQO=1FX0oFD7w#EVLe}vR=|J1KM9sB*|vYiqMy7@YZ#wC5z0@`9#mXl znZJX{4za@Vp=6Qks==-^d9u%+!+dql4lBlVjiE=-Z0*XiH$Tc7iT}J3Vr3D?i+PAX zy4zKSRd77ED!tuB%VaQ>C5tjA{e%`{o-c2ZFt?7u!_q`WlAd5w^DF#JsW2yO^I;1o zZW@?`T7*M>$l{Zjo!=t~d}W1g8Y7=CXHD8#p-r3X9-+*W z{1t`Y{~_s8a5Z@rkanH4f0YgpQSZFpPS+;x+qLn|7M_=Plj~AJdukXuSE5U>n|zp` z--dEG>kQj}3*q|MCr%w*pFcqva9BJQvrXojkHOx3UFblsH9C6io$Xa8Gn)2i)Yqq) zTM^|WyxaI$-tB(y0oNj#>qz-aQ;(9Uo?PFuy^02-4GGyQR+PN-d~o&1Wz5(n7j5L` zHH>L+dBHMP_WO|4GcILA7w2f_fhIOMT04EC`bDK^%|sN|qoHPnkz?}YwCzymOdvG8 zI+6H#LgrswfGe&6UtY@IBnh&VL3K1puV{=?Odp)&rHy=UJ;UcwyhQ6!X2g9E^IR1> zqp+{fM`FHrr|T?6qA#XyR>pEEX}TS22uqcJb6%vt+ZkdE8&UaBZQ&H|#i6BgN|d~| z0fo5Qddk(h0NwZkHc?(1+j{6&MeW*%q=zh)M)0ce;XksDq3yRbA|h%%$HZk_KUtg6 zblG=dtaMc{|1@gi{Y`r3l7<~SLu?s7x$+{~x+iADgQVqL$$q)sZ+GvXJ$5xeu+fT@ zybq4>*Uf$lv9CUj9$jy!au=hm6L*t$)9aEPtGvJ7@VKnH(b`S%DtGq7KdS;Q=lVZ> zuB*Cn@Ar^XRjM$G?zdR_AF(9&2b+Q<`!uNvqnlP+AN!oEoUJPE=2YWgj%PnAbZJ>u zc)d>JU{a3Q3@y>mtaXpJSijSGGVE0lmxzzKknpHXdRX*1jmJ6w+6>5uA(GnppORN2pH&5oOjxVim zD@`t|`KcI{%Wzp$-a!TC@SQy=T%~MmUSIt}gY``LIp)zX!OT|VznCUJI8$Z#v3iUo z9&Em2nJq$B-L{r>ZPNev@`Vayclld!*xofdxfwk9e1i7KN=VR(m*(%z{4bF+(mILzzwUbrL*~l;7C$Fv1{5I5m z+9$2^ZD%11L$v+WHO9*vCyeuP<0s9nRC7gT{)=K$2PY!y%w$j|Al(-6?IbBW}&TXO&Xz{z|~8rxZrO%;xS)#*Lcv^w#5vU@jMx- zH0$)5kM^p_o#j6M*k97Qe%~cgxuR*k>;Wc@dd)?TJaNnkC*_xgynyky{J`l!;Iarn0@E5_2%^-loxZecTjZi z_%f)r4g8$jJ(WKu3m$q;D^Dac+uHf)ZJN@Vu^nCr_vjT1waQ*S;k!0;S&_x_#E5%7 zK)JktQTanUf5enyo&Qp}Lt=G}J4#Z_QFE7|x6yKUI4^kZ_3BNdoxD)$fm`)<6330I zKeCUTwFY}KsrtrFSKm?yrBaqq-?gezQKOAHjU#Elozvj`^K~z$xR<~_O@V^i*tpxt z8!_A7Nw1arF3Vc7*|k7jKhbb0oRyvbnEIQRoF?*sX<8_c02NoEdX^+X%5rc#bo&!+ zNErL-PFKgnW6fETrR<--RNOd3$&WLiF(qfhcu@Pjb!-($QR$2li!-ijV&BDr(aRyxUrN~*g`f^rlf zsim59UuSM0=~e&mr_l<9QtnV4wbebY=TzVQ_|nmW+ZK22*5{!<7ETei!|KrEns+P< z06;X|sG4IsQZ3*3u*M>(2$&YuTrlEo!MgEXp^Hr9NAqJ?|P&E-mgPX*ga$ zXjsbTp(5;%Z51-SUs>UQU_Hk)ID7N74`p6S^+Rrn`;FpH%39+erM!z^B$pZfc`f*Z zh)h@N*<_y)r;57h150^r|HFu@we8~S4$RM?F`kkXH%LUqrr1TaZsuO0tHzDFw0rEO zFlsob>x%bMlW+am`j)9VMJv^B-Og_Z*y`|Vi7;oE?*xARhZSjg>%@w&jJ5?u%o5SO z=-rbY2vJB19nmz5S>9SFemI}cZFGro{-S3^=7E1T&YjMuv1#5m6P~AfieFKnP`C4@ zk7%ys-O|vf<93j87pM#EI@&k=o?CG<)jgh_X?Nfn`4RUmln?s1#23x<>Y^|d$|vQ# zE1vNklfCytb|{p*sJHs=w@&TkwNoe`*+fTl5;Mk<(H8eb=V!%lcU)5+;GpC!ww5swIp~n1=qk|r?+7n$PVX_dPF-8w&8~uX{J;6LHJQA!!tOd7 zbV`4KW&UEn3`$b@j!A`4!WX<1G0u7J{2-K%JR4RQv)_QQJBYBd!0tdXq$?^AaRCK6 z%c#za7>E&UTwJ}#$vMd4I+QnqX$P0Oy1I(pcWksu=m^EOdhWY{@Krws2j!?xVsski zGbM{uaetL^|JX}7O|VF;fNfF;t%PCCFdUzVs0Hr<)b-};h};)wQzPA8Aa@#d1Jh;l zco#Up-5`H2_B?QcF7+H%@p`9o-GPDWuyV}jpMkH^uXkpLKn z@&Gi<2R7D0?qaVV0Yi(q=NN5mw<`|Ck_;1PLGAv+!Zc8%8V;veByM8cjE>i1%sun@ z2f_A3NkQRt#Y*KO(4unoHoH|O_jiGldjpJC)8Mh>8J0ooq8q%hZ`noEh;_m6&D<# z-Q5K~vF`wd$OiZ>e+z}W%4~+`0o??0f>ZFnoc=^{PhG&6dnHabBowg#8l(Xk!E*P{ zZ(+d3x3)C%^|Qg@WdUp%)XHo|Hi7GJ0q#Egz+}w>gp)5T1mKLrLywVX&DR!2YpTHB zB@3wJx4*uf6YXRc1gJz9?6WsFvko23qXOS$IXBWscnu@wE3#M^7KU5<;Az~}BAP~8RJJ4gG!pwxr(OBHGwyj?C4}S#oVVgHM z5jQ3QY#WfNK9m`hK8dXhD(q_o|2Qi3h?`#lO#YshnHi5fJEB@^#XAC=J~MQdRlLyE zN&*dj7Qm@s390~~UN5kMbHSfn8T;r>Cv<=u!O&n1Sk3vh27skG!Y^0?zY?1UBtA=M zNg!=UaD&kEtU)9L$P*eGuLQFap{@CDIcR)@ql010Bj^?|lM6r_(C0ctFXe9zD(b%G zx#0@?7nZ^S5pIE=F6%oW^wZMO`Q_@J zYhhpj7^1_T!_jKV$Q1-d<0 zcH9L9Z3u`XY-)&5^)+vUIbFwn@i!6gbvK~RI)cRw2MdcF;J}?QIHBiOu4~&5B9RiC z5na#&bOEIzr{8v<@ZD#VJ!EQupK=GHx!5?~<~~{Hc7q1X;`vqpvU+rK>&)UWvfP~m zVyqV2S76Ft0}y)1jS3|>5x5UcXXr%q%JaZbNVxg+Ej))Vc^;69j49Dw$f_L$0H+KSgo_Yn;=N)1az9$u0*e1gA_F`=&heA-Gv))hi*Z8DWkf282Co9m!A98hbk!vk1Ffld^MnCf5MN}zfG21Je_8AvL?14F6z44gQ;_-yM9>mShR znVGpDHTmrP324ah7b^hMXOFCbo-tVCUIr~o9nX8mu;^@pLw$Bynl!Aey|AP^excAx za2|rc%>$%Y31X%mm@=SWy8BafLn+Z9lOC4e`x+Wih&8ir0pj2SohSvPqXjLhKToJu zU>dWtv*&EB!lctQGc!}Nl2=y|+lqu-g7A(9;b|LGxG1y_^Qw+4G%GV3LOz~9g*#(( zvSp+UH&?$>nN$KOedfeub_;Jo!C-Z`yLMH~t@wKNpF7sW<*LXMTi~_Df$T}Xl5|V= z!s1{lx@%!!@hs;ussqdPNZSxh;M`!2A`~6S09XX2Q3tY4z_?Su!d7#U|Kn|CWQsy$ z11HTiE=srj<@r|Fm_}fot^^r?)uB6}@bG9=XFYdWxbRUaY-Bu~NcXh&ofLk*DGG&^ zZuSygRnFg0|P~ilMXskL$=da4+|3G|h3viOPP-6O_{E+Nw z4O3q-x~(xfK8@n z%K~Xxj@t+b1&htvuhu~Qf^0NU-k>qihwXz2u|<2bMw|crG)h`E1I^e1yBk%{6Idf4 zSVEk+I1e2Qvbit74ti;@3T9?EVeS!CjqcR$h=CApCTqQkA9z#Tc+kbfLUU8ywm349{4dHmR0&rLfEMnB)G&oao-mc)f)C`^vOi`%2<;jAVS>&p z2@o%$HgINf;A5)Jr$?9?n*#`up<612O_c|zA;D79?f8E)$+sMVl3@>0GJoq2Nc9FD zs{(Ug8Fv#cL13lfNek0%1{6Yps=e(b|M43ifhE-qpIJz>1sMm;3F4l@^eY8S^uQ>l zYv^LOQ@J4~CdTgmoNqZBadb2TftqSoC%PW5SQ#BZ-1zoTFhIqZ? zD+UoFSE*22DC)YNRspl6G06c!Q`$j7Xb_{B8`mHvA~MvLBsSz|0fQcHS_?%qQVD|x zBC&e|LI8o@QNChs41}t@O-M-Cs0?mxZ8eCY>+jJQ2te5(4=e;VsK0^3nhJ~>;rtKJ zV9XYSty@%dv}VB~v|8P^7N!ex<^-XaikR5za$+6)8tpE4vVB&Ruh*cL#4E;b`gzMJ zD0AS*@he-gb&BB+s_}@0QW_MB%%~FLLM}L4V9$J~`oqVtd%}zH4lnL7F_2e-`y;&>mUfbF za&+i&30EHe-lWbvZvaGI{%=$X+eDcZ^x34Kgy<|DXPVN~@sWBMlEiNp32!nM|JGf7%}Y`SavI zyGQ*A>$UA75Ft5>w5n(LH0N6GnA|E?XxO!WWsKDMs``|#u5047hBt|6P8I~~@b3d!~~|58?WWPJoN zM)xc&6%T6@w4vrhm~_y}_S1ykMnxmmIEH+r&>FfO#^~6FhC45Ivp#&_1sN(o>>9@_ zK|X0L==2IJGE8nsTISTEld_76fQsnaOTtsQ`SFTfkpAU+a`flb?yL7gf(2B4)JK>L#27Aa~`f2CjOY+C7qFK6LoK=tcS zA7)`O-?dl}4{eRLrP^5@>i=L+)wk7}+yg?fY1<76rvdSf8yJdcJaP|gY;3H1!ayXM+5(-}PY=fEcxJvPbE4IBoqo=;)8MKii&$&wO`z>)TfAxyd8LF@&mpP}^>zce{~E1C#@fk(qlG4vlq8FoU1%i@nS2`YajlDM7?54sj#qGID(G5jV=9F z7JR*8ifjWi`^vrcF8ux%hr84xz0v8#S4<^*ANQ_ia*qbE9dtM{yh8*h|8`*WQT!5A zWb$k_=*C>$QDna_UA71xYEbti3yC#3vUbVTouMA)GU#$_R2U6gUU*kXI>IP_0i?v; z@Zb4>EK*`)aI|q(1jDTm$6RoZ=a)X+Y8q+}JE))r7Xh_pK2(To96|R>ncoNgd~2bA ztsyHuuf@K*Ovl2~oFHWXbt^`t#{{aRnfF20UjyZsb^bwsHS1`8nDg%aE&j`*E``4; z9dj3B@Ewtam&03{r<({?XV}?xIGJqk%wNN*|C=@Ecm=k3h~7(C^*XSa$S7d7o6phcE{*cyA?ejw>R!lPanYle%B1+b!W^e`(ExcVJq;y=GTf3oHk z!3+^`xUv`+uwh^Y1F~WCq}@FuBvTRKGqDkXNsfD8OttTCkl5tBjw8pADC#nfz2gBw za59)Gq$9PLj)^&v*SL4dC|scBOb4e})_B=VI5AWmGrX4l_VcHJj*bqWV=I62yLay} zmvfR8Au6v8mMVQ)Gv0e}oSyH^jj2-}T`DwqH;=8P(p>ktm?Lf&j8_?t1Q8*Hr8NH( zaH?1GE%*ksriqTTyokYK8A;r;t8wilu&v$8sN?ctW*af+zC(_7gsB81B)VeA{!t1@ zPx%PO8)?7>oCiU`dO@x9s1OgW(|wH^ze)ow%mNEisUc)*FnY{8K8h{Q5_&CIYUiJ( z074v9`=aM#ziUk*E-Jvp zq3Mq&QHDXVYU`|bt@Ng}N|$q^g5l1$pm(P;>a32z2_g$F!=ftb)B0{uA14@<)!A;T4=7Y2`$ZT$_2Y?nuc&`e%Y_5CJ| z{;q!!rsD}!?Z)eIBBG-y-8_M1?$Gh$g6ERX8SDR~)w*3g>~*jV<^YMnoGzpp&|{*& z?7LAbRxt0r74X*twze#c>&iW|5O#AmWClWY+cBYr!KaRXaap0SFtVD|3Oo1O+TzUl z%PD}V$U3!dhZo;5j4|g_iH&5Kzlmu@Z-vz^IU%Sh-0_FI9f4(mq+1?gDKZQ6t2>Ht z1h5o8+PvQ{CLHLyw=w${m)fZnxYSbT2h}fOYht8>kj3wQ`Wn%@x?g!6;s z!zomKvtemvXxOxXs9X;`dp|wf8V%&^++Ro2hj(CojKiq*FD`(qL7JA#+Pi$B(b+8^ zSL@pcgWtacI7;++aq#Mqib1nTcsYxlZl;!HtOB>wE-EG_9$a$TV5`}!;{u+uGvD%T zVDY%8y5EWbK>12ZqOlKM^a=rMgSbj9Z@tP=LTiFo0h64J#Zdyeq2Z5!-)&HbPx=sG z{G53>Hc!PH`=*_v>hyB^F7nu9%hPeb>q|8xPvs{wKX?Kg@bR|2|eZY zUte*qF@O?5U%_nPr~zsxu60@YP@8&#;0Sfr`GtM*oZTAKx(v@ z=_`mp1a)~If{x_KsZ*#*&&aB6-P5jo5YbxxY*JlYJF7Fb(apFZLf}*HSh6sExlQDB zsrhdPamL+xd9sh9f>lBl(xZD9qJTjP2Tl0DHno3%w1$pOHb{;2y$>g8DF{y8$<3W| ztT1F9dvpc}>-zxSrT})Y&c;^lhiw5dh9ij1TQ4)Zj%LN2*pLl{xOw7Q0w~=;p(5fIC{@uG?3oOLC%aNb|4!$6BfS2?l_AJkN2-_h@ zfIJer83#=7+uB~)l_I8~*n$3>6@UD$oJw27dz33fhs1-L)rQi>K7(A3geQ6C==^y1%`g zE9Soa2ZvA+O2Yd<)Yni`Bhz(^s*VUsHGSX{`sEI$naKh?Ty%@ff`M&{e*QmG&+%TG zmfK-^rA+2upQcOP(Yc}AHivbXdnh{gUzz7V93WlO05t@RDl|8m8(Z9Ltr2*$(qz#j zIPG71=;c3W&_&>(fUu+$NFyWO(F6*BTBE2Hs7ZTZ_5hnV zun6{rc@PT`aV16c7^q>E%Oua;7I-4&$xu)wgKT4B?xpa}%P%~8GR?uj8bN8IQ?;-{ z2^86>iv*|C@7)_W`htI(jFHnyKWs#eNQmXhvLRwpUg*_gM;Hf^q(By zsNazTfb{hQI66jptCyj%L;j8;T+3^&#M?a|4v zstv*H9Fdcgf()v()2H{kh|6Ig2Zln1eXXAgY-yqMF|+j}^FMzeDyGp8!Y*0Fza&!y)@ zWsKgASI)j>&0>=9&M^3h->x8dp(b>`wV`Jc+>|pTHVn6e^TYy7V+q45Pg8!m%0*B~E;y#tBT zfRHY;bpvCRP`ho8Jbxo)i|n|U59{l)xa3N^c!W@4av`N+NuC^xchJu!~wR9BUJF&yBvA;2Io-zngU|? zf9*x%Q1(6k#?le`rZI(F*t4`tEGgjRr3iL`?XIxK3qeZItq8}aSxp;-0){C1coRkA z(|;~EY3V`|uv=xXyk#en;t}BuKH@azTPL3Gie%$u6%;%M>2ixstx43S#!rG7cta>k zZo@YFpRR18+0^ZjwgSg4Gv5jaj&%N}&#L7_<#8le1hg=w44Ko9dDfUJ(&$8X z$$z@mi=hA|oPg1pQdubs7i|j4%qZ}B{{ubr$7aE(Bn7yu+#01~ zLF~!34>&WoMRmWyArm)9kv%&?>4Wu!oQZCtvuX;;Kiq!^qzpEh4Ri^=cBwb}&$Bdf3_A6fAp%#G z{I;mghVghFj8Oasx1NZ&lWLzwW+_k>!tI3AOuGS zNpcw^jiInSt@NA4=h%?j*$jWaee%>lHH?F#kb^;&tVR@fjrtRSp&tXR@MO6B<}E99 z;f*iYo|~?ZVzE`PLT^B{iVzeLE^vnvyP;A^JpX;#$CjVM&*YbQ=|!Vu6r@L}vnxX; z2VYT^`h_9fp`Y^OH+`V4LHwJ*e4!u`XcnPhVZZ}@+fv&x;%D++FL&qE*dyBu*>q9W zxPMlvR(agFmm*ukg=0W-@c22|1b4=n^w(b*Ter8oeHU>c5bK6N32l;{(Ai&bwsmxL z4DK!|DM5l#zr5~AfSN4<43$io3vg}j!en~lPOWn_dfAHg4}0y38e1=EvR(z-@|_+N zh^zS2@8jNe{m#OaO>>HRxa-UAaVNV>S>)I{cFw-3ZWUD3p*p^&W3|NZh$-vghx;&WlbZ!h}TrWw3U z!{+=*G|^V@t4R6Hoc$aeA2Dh^CT#H1UZ~a@jf&|0?`F^bpX_1Tu4!0Y>UgD(|Hi!h z?*@&k&>BPz|Bs%Zz%($>PlN4UZ+6(Vt#8 zoq+3cUXWDq?XIm8T?fU$sSl{*^TULPgjp)7_b>}{m8clJ0$f#`vM=>9^VD+XJB0_X z$_f?H9tNnT_l0%8ZZ-X8$FSG?HnoTNd5q7D(wwd=bN(&UN7}ecn%AfqM5`OqxxJ0mj!Fjhu^k*4N zNbL6^f=@5juT3z1>AQ+r(s)_<`rW0cf=_Xu-J0}r70=Hz(K)?|C$8X8?0n(NYM%ai zXY5hgYPgLMR=qYO{K(hUG`#;rZ2YtT(CRDqApE~R+uNA?rY;La+HjP89ZEdXbn$W@4+8vSs`gHWAjq!Q^6kj z9?s*^3=wH3yDTaDntGpJN|_IPvar3={vo%caG2_{idUvh&qaJo``aT)*gC!ZO#6gw zMhusw@~tt}PoX_4X}dMrvX2zft^h(-1b6W7t60v?!pcFX8 zPS$-BU)a-qRcf#NfJ!9LyT7eDnJiRqxWVpzix&+yS#npGdc^8zy$sRfae!H0%xbtP z#hL|Qi?s?JakKu>ucDR%e69~wks*x7xDkhmZcl?k!_v+=3H+iRZH_S)sqxKwwS1^Z z6S_yRrm8h_Q;V-?*zb`w$|YC7Ot4x^vZkx=vzMtNHfb$E?Jcg+1Pf{IBxG<*K9flc&vwLCjuT|x5dBvA| zvp&^4*XqPrg>gCi$LnJ2H5pN3m#@lAjD5uc5wBE;T6TYm(w6y^{}P;Rd#|Bhp2T~? zB#rGEH~kdKui)u5m3(n%-}79nr%+}-X*=gmUc&Toj=bZH{iD63CY~YI4=;L6AJG!U zG+gq%@@k0ICv%{v?2qQe%p*(=LEb#k!QCGYwhAKHR$HHlt1HPW!<~<W?J!<9OfJ}#Nr$iKNFl@%xXFsZ8B05=af|?EV^n_vCZh^c@kA#)IHi%muizf z&3yx_{&9uzfORq}`jTyt?u4;Lwv>`hb5isfjQsX<&ziu$z8c-H0s}ppqu1K*wRh=g zjK%IZ4mGuZ!uDjB%m}nn2z578z6*(d!YJ-4F{!Zq;UIoV{A5k+llNF_iS5L3bSb4) zilL0Y)>WzBTsU3{Z%32M_w=yBC4C;_KUl=m=_VgdjFl+mLKLnRZ%= zRT>r@NZ29U89K;f)#+C=R-)?La-y=b$ZM(hX33DlDa%j~LPzD@ek6cjdv`J9-npnJ z4NAv%qlZ4SIE>B~Tx|7{Tnp(~xA3oF{MZ&GGiY#p;JmmSQTFx9`0v#S9jG! zY^t>VBaX^+6E*3v>MJ>cQY^m?g%bM=C?<_3?AbOdb~i%FhTMafo>`7DiKo-kwx|a3 z3-oko?(sHi;uWBy-6JNP_AN*%9`+_BBP-D8nFvp|4}rVi>Gp{8M}l|lw5YDq#H(l% zGaQ`STYhy=$DXpiVYlEV`g2)oL#|^lgvkB0d##xtbrh|PhxCXhzCaIl)wZ;^Nz5do zq~QH-%;Si(yR#RYq`osrNJ`MzL?4~1;Ls`z%)PU1s6o z_1U!olu^q3Ihh?gn|#m634KTO&fL=JiO(bP=knn|*j5qhBDrx+SKGTb#A;w$VWO_T zqRB`WTY14KdU2?!ZfmA?=F+8i#4xU#FAA{mQy7c*IyRXU#w`WO&TeP5ml1A#V5X@{ zp>SMP_Sna)RF2ZqjC-6}OD~SbdY&5UD|{*F5kI(n(B$jE^6clYilzeP6}vCzTZf07 zCQ^@xnix;<39vRuP`o>X$zeUX=)8|HRO3h<8fuCewOsk6rJT)h;9N(ryQtY`fOnX% zbmRO;?MPeYSwh9YP-E{q9%#dFR;4(*+P8mxvXJXMjERZ1;RuZX$b`w`MeWKN^RKm> zw0jQRr5Bg@RPv&5EKYk&gq*TeS?EnU8;^6?ZlKHM8m(6ach}jRLdhc+g`G$wy&Q3d zR`B&nI3NAQX=O|a*>!kpgp-`^n3xr3k6QB~E+b9e`86El-ybOQ)H7TxzKXh*tlwri zwqEy~n61oBEH#qy@a!6GI{50|lvpkrqlOiJYa8a-soYCH{x}_ z9#QRLCoLLShQ=^OeKk!wyCyU-wziLxiF1kRc$IV_+y#xvF^}!^w6l%(WmNm1h)w&{ zsjgj1kbpH)1*-+Mh5K@Y3zif1thZ+Gi>r8C$=~M<`oD;+$tHVrhH3Zl_>g1_xs|Hv z5J3XT2c@bDnC}`g)L|lQC!HQWd+m7QQBI`T$lP-sL@(5)P3oX>OUrm<@IJS)G7bog z85%+C9l-Z)21L30x)fG7k|G-X5D?RCi{AHVfe{v0tQZEz;7xffxv{pwKlpMl!U-qp z5fH2pfB|gkZW!02EG<3un6epJzlTqQ5A!eRqF=QI#*-kJe2Ig#4ae7opb0qfnARO_ zPvT4L-ew=Fn|mKL2iC`k(LGRRGfGRN!PX%GF;k9mgFFHb1?^hECpK5dl7UwnK6W@G zpbs=H#QPaZQx`qno%}K&9gjF0A@-sWI2r^^hbZ_y#qLD-*Bma??SA1#46czZ!AyxG zHaPH4DH`poRY6z|yS_6W?)$j7xGcU9iQ`=)|Fks@Jo10aNNxhX>~ZL{^U?sR7})4{ zLPRa8^=Ng)udP}*vvor5<&_%f5$EOnm9Iy7K{-*F5BEKs`%5f5zt}-et&Ain8TO08 ziyI*K_ie}cqEUlYl33k)pNPfft~rwP@$n(e2Az#erPv0r$r6Ms5ds8yeyEHa?0P-> zc%Z>Q4Nmf+fWS{fB4fJu$?@TOOx+atv`$xz9oc*?L9RFf0_Ohmum?8$EcE5Ay6rgt zY`mJ2n>!Ck*kHOm@|iTuAntz*STwa`k81D+bmbe7^Z`UlaXg=V{K|9tR{+q6*UG#P z%BX?og$N?>C&n(pZ?pnNwtF}$@pFB;6>)*rfw1?_jvw?ZupmNT4>CH$^#RIkF8q`~ zbj~_~A4o}i0GY_(jZ=22?AhGAfOX)KumBeo4qa@lo9%eF#$QxWI#tr}hus%vR&L=||P_EF@n ziM;vn>kS}1=htix!dC@Ur07u#cAS6xhzLyo)89}FeR{SJ4Do@vIK^-2QaD;j`ahW4b?DOgF`)`J&e)@AA2~9!`BwJ zI$}w5AcID)^KCvE)h3wDLO{?M@IE=hnFAmk2?{S8anifN36#zsfGlZu@aypaUHm`% z&~*xpaU>3ZlL*1EcZEBem7jlo&qBk=6)5;(hQkf8Y&{LKvpC~5k(CIN?^vsD_*a72_{26h=cv% zOEe~%wZ%3Xu2}$?&5*)y3gOMh3O-{10w>}&2sfs3ZKpAV+HLNgD&c?n68(H87PJ_rV5#Wa`9wBYx}+um<!JN5Zt3<}Yz(C!|sIK`C3B(}1 zfTRO36};1TT?kAINlBZ`GjLxnIb1zC=0?&wfI)i|$mb_tnk9~(-4$Jv&aarcioi9a zZi`%$*Y)K+J;lJE6EzN_m=BSS2+gNO?6y4?Zv>E88;G3XLy)rR%R`*+_#$t<M_Nb02#grLG^=SOz^(mp%Ea{nCebG=7r%j12G^I0TPGwax|{! z$BB-bgVPu+yONH-skJp%sOUPu-J%Y@qrl$#{)qkeDeXV-uV z)LzvBs8w{NsWvf~rUO3}RS3E>#9(~u1fX7PQKOGS6`|qi?2_+1oDp$nYmn3eFt#Dj z2}f(Z#~L_FW7B>#6h@-i>i?;i6y{~zw3o;Ap#a)p?XE<#vmE(;w75i%sd(Za^{?+? zrX3Yg<};QKXwd8a)0ZO>OtfS|d;8tWqK^Cu$-4jV(mp|ikuz4zdTwg~# z`llAR)OBrfF$#0C6ZX}=&9wayj9Pd$!TytBIjp2INJ{Lsc4_v#5uhf#2OR*D-}lyJ z6SnO0SEBvgW?~<|2jab1jd}83+vE2Lqwz~KqE1kS&dnzpXK)Obp#}ds5slHCV7dPt zEcXMUD3yg~h`Gl{AS=9wtyCH)Lf;qHV5bQR5!)X4aLEG_J34{nq@eHG48SA1l)tGs z*h^jszH*Cs(Qgp;y1(RQ~;JA-D zln6{iW)**bA07)>x;tU54BvWA?L7Rf6D+F+uMgFMp?)e@2x@GuzJ=@qo=4hdqT2%x zJ3$-p$?y$CsI+#%IyqPp0_>Yr)n=v`eKOd1N(>g|gZ_SMs>QRU22fQvG!h9&!)VR! z0gcqc92AJ{`;ADTNhj24_p>v_uD}DkXBuD%`;`Oe8q8`?ASv_SM2c(!U8sjH8F+5> z>Xbk#i&rNeiD;OU1(PZAw4$h@fl2u0uF!Pk2NnsB-9K|M!WFBEIbomrwh*NUWnAzz zk~OLmSF7%7 z@=I0j2l8k)Vb9@N40VLK9cgZmUk#>HME&)4ceQPXO1bu7YDI57Lc|(Kh=oN=bXmp^ zoDpR3fax<_K_~K9I%rIEWT>NuP*Cm03Oic5O;fah=Bylew=OVruFhWb+Wq}kl?hT9 zHqAa^q)-wVakvN_9sCp+Fdh(HF#Gc2UHOVnrBE6jKo#j>wr*kU;?^rqb|GLopyi5= z30rzw;gZMkmAUx0$129w3MKM;gFtKWS#Ao5)v!=UGgT)#;jmX0-~_N_cn6qd6K9>t z!apW?s@&-C_~S(v3KxOpJFh>S6+ADPLJ_)*w<)h=i(5*bn#JI9Zr5^9Mjq>M?w5q_ zi9zLb7H+lDwz%^XIJmn0N`0Xc$8WD(&pgG?%=$k*_(3N}$l5d9lqZjSIOiQJEyK3Pc&APK)gRIN z_K5ty#HS(Wc&2;j5(phss=|02%ztbWj88D+dtdy+l2RMEsJ`aN=oPwY9$>v3am$nc z=_8RRW%|}QGq@sM*QG1Z1y-j!xszX}KICBIl0&IP9DAv?l#QJ_QBcqmusgO%{CH)X z(c;p*-*@qnaDOOQU0D9jV@&$gW6)2%ys`Vbq~GG3_CjYIIlLuUhLD|6p(5csQgp4< z>=?qPLHHE)l;qD?eVuW{{lV=sUWA9rX0lMyAz66_l@4E5Tc_b4S4@zebc>EfAA>3N z-9{68Uq|MTr{&N(xC&z$-8*)#i{iFp&t z<5|zT%XR;*Uy0nsew?ix7BzR-YESS6h~~=cwKbDqqql;??*q6=%v9%6fZ;hmQ#I>B zY@vuf%?YSwk#AbB(NbmC)k%Q7Nqf`hiB!npi_OZG2=nT>sBYie^d!ogQ1vSvimOFj z;Ce_&LNChi7Nko|EdO};*LE28Tjq^~%WzohMMUo9Zb2a+Ts)est0y1f_@sXqH|M2= zw!fRdNPIA83o^9j=0rD|DUiIhi-t*q*k0HrlzWb%8ZI{R7Q?2zd_^B;&LYeV$fQa% z(4hJazM;xWN;nb9w!j_-X~wUG3uSNwO1WIUh#x z;}m8p3JVKg!+B-C{PZ^!?3#8DKqnk|c$mw!%E+$pg}>>}k=az%kKk@7_E&b5zUYE* z(0Rl-Xl~VCeq&8_&odzL)S5|luZEhGhKDPp)zkQyL>l)>5Y?z6m5qt2keTvUc zFo-WOJ1H6@aFi=Vj9S~?PTy6VFjW5-ViVZq9vsMW3-svaQ+MUuK`xw@{zgL7Ey(-r zJ$$N_A7^H+fBHIX3$6-C08HPB6Z;RplLbG_;dayF3b#!ra)r30DpNIEkNsaVlI?&# zeTJPiCdr3?BlWyimYRl6%~@>%x|nze5Mh>pi$9zxOXe?Uy2ftl{KS7F`uu7G_usk_ z6#KC|u}T8(URaFoe0-%|wJd#f==Jka8B)f8AVL1HC+j+rh1TZde(Bq-Px>;2>Jc(A zkI%V1Mbs<`iGo~njssB$!oz7$D_m*XPBlwBtQnwmZT04ad+8D(OHxB$ru_b9thh!6 z9oP-u6!EyY;bM?U`a_Pkjvk@1So>|L6G=eY)vv^hB*Nb~@X^8F`#xinBvhLDQ>N}a7+&Ri1B3i&;aWXIO_#z^A0Wq|R>dwpWqCiEl6Qe0S_avur>uwNg{%b1;y;hd7Lk8Q#}OwMZ~uewldVR^RPG zjRxu&9tWMSA}ETK56v|LKyyH)p`~TH)1u!nO}Wcep)W1eWFdC9DKZ5JIAd!!EBe4# zI2{hZv#XtMSU#!~m79YXKc?JU0rba+9}FGJ4K*wJ{Ek}un0A{E)g~&{=>nP8eQ4hv z#aq6O-|3;J^0#LF{%-0D!|i%KA8hTrY4d#D!i*0;dAf}8WRFe9nTDZPpT${3o z@YUc^%TI9D7?zRQOFr}WXcln6`UR*gWUoP8X^&9M24@BYMd-a&Q6DnYL)4vPKdK4IkcZY=d&4^@(L8*kvkok*MN8~Gf-?;KYOwhOt~vS z^`@(YWam1=APaUH>>t_3Z`C4xkGyB3z2#~<05tFNMDs_j)~!-xEQ6?g) z=;KQyQ?%T5do$(rIeE_^*YVPywJFJ6RwsrVsRW0;pBoxytI1$}_yt>%{GoOJb8wr8 zpNKtqM{pR?9@JGLLYn>K#V=6rZ3Enyb$p^m2!=S^qRRzkj#H?|SPSLGmk-3&l^l~( z4ks4UfO(a5?5uGIIqq#vOs+Iw*^LCL``QL}ZJaG3NQDOvj5Zi2a=8G5!MG0sCgt@W zyWd2eSoT*Kgs&KSVb!B7dT%rvC@Mn2-V+?;aJZSI>~aU}Ay`6a$plw%CV_U9tAE5u zGDQbkyXc*ZniY`{DP78+2MEnSuk(t;mlP2 zC32_#!L4{nKVL^baQTXsN)GKRQ5=z-AjY3wccYpGOC2-NpTD{!6b6ST!EU@&W_y#B z1npX{rrNJWN^5ZLL%s)1joxf!nU5pEAy+NkYpk0MKMc0HWGtUX_q}LHMg`G15+GTf z8X9$wwGKG!kJ1iq(?3gtZKHm8bqe0IH|T{Wwk{(tgVFOdN=duxJbe{DbOO}N?0>q_R}be2Q2zz9SbY_aJ(t_aSHEXv&?I`pQ>co&2wXrFC@ z71R$nFNaF$Adm~t?#!F(_4Bc*W8RYmzoGLN2S)7G1nZdZDS`6b;HaGpF?%<`=wJsd zZ3dRqJ;9hLE~%FOmajVTIIY_u^+xa4) zEGSTH+oRdIkp2XWbeO3)GvRc2wQub;*vS)(b=S{0l$}M|1>gh%x6c2XP6UHQ1Fv~6 z+&ThJ2)@vZJc6to697)|N)g_;{H!$1-7J3P6nhva$?s%;0$P1+v5dKTN$xYjP{1(p z?JG{lPZatorP37GtfpLm!q3?{#`}%taOGt#>?jS*+<3NXh06Co<;9{RtX^P5br`s{7sky zQyV?tG0OGVdTh~pNmGyo?X|!!mxGsl!smB=0U>^lA(^srx=KX-W=58BnXJ;T>(*l) z&FKd>tiD|VbMn`-%*jj|FJZp*f$|b;P%!ZV*zW8=n3VWE9hr^q?}7r`qIpn>$yL#z zt@j|*E`_*#;U+6bjPYoBa>GF9b9$eQ_NcANlyFUYxs8`4>%<|u!JQ8$r~;rs#dNu3JzxCc18 z34YH-X%dZz%JKFn_(ej3GL+b}nHNdnl9t+m0(FlZzXnAO5DMJnE>|q!<=nbK;eL6B zgN%v0mob+jfzOikF<|2sjsoklA#*tj)G@}FQT06vBfT<96A8kVj#_-7c~3_^vq+|7 z{HR0%Z2yCDiwUuVrYSgh`6J7t1^rzy$2aVu^xh8_jg6QakN{#ZLFy~KuZo6XD{-2r z&>O6S4JDn*;%}YUTs%eA?52L%nDN=3km4KQ!E$&DA%#P?4S9mvng!aQ!Hy?5jlc;1 z2EjHKy8<=xsJ9ghmsUo>EgXFQr}kMOJWa!iYZsNe>kN@&2_}8Y0P!7x~RiV5Yq{V0~jg9xBRo=CtFO$wuhO{ znKIzqdO)v62hc(ot2Uvq+p#>`)R+#2ks8m-NmQA<$9~2iMp0N%uoFkR#RU!Avf~n$ z|2s3D$_DP1n3n$~G>dQ3VS=!VVHC9kEmFEPJP_+F^np4dHY3te1oO}oyx`(f&&0iv z%C(@5DI9iZwn{X~3~T$b62$|v{xQM2sEUS~S`(N%{Q}=9Aab|&gY`x$q>LxW7cfdx zI;sJa`xU4Z?mb4mk@7YNOR(&0y|9cs3P{Dgk54RD(NJQ0DX2bDH1*)X6Ux-2q`6Dl zT)dp)7NR92M$lvwgT^#WX12>PCQKniJHG8t!(}y28G3)?QNh2(tz%uT9J`tbmsDgh zPaCs92dRXe8^aT_2Iwv$r3o|*l@QK8h;BK+63h}1+jt#D|7=xG^jr(61oJwogwzPu zZ7~S=UesjFmHvktzeOF@{>*sP^;U4PDhh@zyPL>bFQN6|yRa`7BQw-koLK*PcCrlv z_A3nK{%FOci?_u$_WSbt3gygOEk@4koE0P5Si1^|M#$_}M9f2AaNW50Ox1&zGc@}j z!NFXc6UX2~kWuv9o>ok)29T~m`~A~rq@t`V2}}Y=5H8DGcM}Uoj&GMo`i>aYKLeHi zoj^m%6$W}U$Z$s<5nJ|`1yW);Dj=X2eRcc!oY1v2vR#_ur_lJB{HN40Uj&HUFC(e6 zM$qns*Aq_vcg%Q3wwQF%R`|ifhR(l>(PsFTK@!1l8;b|IXxDYNI%RSfPxq5TX@re*n4m1aGUu4lE(ixgGZpT}RCNxx z3#~T`dUEEB3*x^nsTKm=-I@-!7WEC}H$z4G@^C&@<3{I+A=@DISnoYJvmxC5ET9q| zB|Qw$m04+{o3{aq1!P$Ura`nd^a@~vek6OQT4<&nqh#vz239&zxY@*;JV4bB@*`Q>Xf zH(5cAO%0_mqIQ(1Ax-NU1x9l zhaInlHRWwX(NtRgZvo4gJjH_M{Vq=Sxi5mB`woRmP^oY_0&jvC=z$>Q_+rTFK=v1q z_F*~5GT_z5*lR2q*#;_pzB5hrvLy%UkbrdWuGo{>EQPFK zLd?L`uB}bdNF`5}xMSz%x|=HD;P5cSAkNNmPFbP>N^ zu?b}~UlqfiDJ=Stx#$}IvzrVXS8xsS_Tcc=4YA<~_R^{>n@G&MhzQk4Z)ck7XBaYd z-8if08iHKxBowD&4S|ccm-G5f&T%c0lJmb(T(7FK?0|j7m~_tFaYjzNKs&=*0rhxw zp~XHj8{|f^CY~beo^lnezwb`7AT7Y?`TF1>g^mf|aG=tSNePus?M8fp+-*?z{GTuX ztDZp)x(1c|Z2#&LJ3#(z3T(0yE(YFy603SNZreARprY3%TsS z@ZZ%P;f7Sc`r^?IJUQhyk_)Mj#i*)N?45q{@AoeYh`248%JU7!9e;#C3|CBwj`eX= zLEny>;1h+WW37J9jMf9Jsjm1+%D9L-T$q7(F1RNbv~llwOuAw@1t@2zJZ2N?SY6mz zV;fdga4T7uviDBFMXSm<6O2mrCX5g4a95uZHPtqd?e{C*U>jmWoAXrC?3|f4n8~Vs zMc|H#BjH31;Uoq~G@y(qpD=8Yo4Yibc5~^s*<>CEoZ5Xf#CKMHe*wKza)9-yRboaP zJ1J@5=Ns(RGfTlu<>|||GBK59hx)&D^@7hXiV^QVX z(Epo8;ZcA{Gt2iW5;0}hINzf9KUC*qo?RMBoLE(wAyutsk*IWFt9i!ZbZBn$$*z~e zJ^8y#za`U24_MM*E3zCu+lpi!>po@rupzjgT&;pzo8&&B-^Hngqj*6N43w{+(D$U& z#qRBFX)A1X6&zBWy6#fik#!U`{0uf<4lu+zPSoCcd41`~k!aaI)R6gugH!h@bUD>i zE#dwD)=yiZliG20Bu*C0rS-jY|r#=@HF}M#wp3t?zJgK?49VN4=?Q3JQPu2WM&dG)GP^4DM0b4Sq zq=31Gv1dn+xYC7uRK}R=&sp(s_l)?? zO-Wmesxo-8r!Ch86O^^DrzoGH({DJiWMLRiU})^xFK+g<6exRz+`+Fqt`tVOJ@tn; z4rN!G6;rjqX4R0w@Xwikq!;4DFua|>#KufQ9sY`#!|R3 z*zho(-<`te=AM3!&%C<($Tjz9Mf&K)H9m5kzc}Bc#gDa~- z_GE9S=Vpz})(!{DtXg;11s@jDye;Mm8Fczs_{sPU-dFyK)9}4N`Hz2!GNVas{P!Cy z&u=N=o#@T1oLty5X*%w0ruQ+hiIYHmyz>5cSNYNBQ-c}bM|i%ELuaYWoLFs3US#CF zcA-Oi*ReEzvSq=I=@DN#;@t4arKwbzP?Et6{CLe&gXT_A6CKMts~s~k-*f(S_fzxH z3crt|pK7VrB8POr%@m6f>0t9!o|z9sE?9++PV81)eGX%I&~t@E4#k~w!5-X%ZDvw<_zpI?K_l!su(|(&|WAU0K&Pjdq(bTz= z3%z4gpLXyh2soWCt((qtUkA(@--%>HR+2_&?tP)mOCAeihY?@gy{S7PcF#P1GrYHy zoaUUFAKBT_Zu+oQadCrHhKB6CA z-(tzNuG~y-FSxKDr$#ZJ$8xBuXZp}xugLF8&8p6ChHqCgs?^Lk=`Xq3c7J&Mu4I1X z$8*0}ERCmD0Od}NzVZ(7!jOTQ)8(1>#!ft? z6MZYS7DF1c+IJ>$7cCy{CeUksE3>@?KN|Au$;{gRz3?c_!P@t z@k1`0a-zY)zlZrBJQjDb%$h=_Kh$pN@uwlF%nF=+)D54g^D(DlkSqO$bao3l*-x$S z+ct7}mugbRiKo}pa-=Y#ukPH*4RLo)#(DWBO^`x}uWq>S+2*&DkJdsIagQ?D?PX%IypPL8v?@#FZ^i1@!Xr#*)n}^>y1z*~ zrZRNHn9y?zek1fzx2#XYxS_XSv7ntL@Vl;0)^MhqYk}p&k9H$R9K)nhz`*Z!&`diM zb_4!WKHuobw(%vD8O<(lC!X^DoPNM*WZa~z*!gd1t_4#6GlfX5bbP4f?wM}Z76nB)p(7o$VYg(?l4WaG>nvohG*#M``d%`nrtCk-=9e!Gf?;jR!&}?33Tt^ z6ed>&oKx@{kB={~vDQJ$$y0r!AHh?BV>Wm@_7%!f%>k1IjUAZjBD5X`uqgt?I)3$myFcnp8ThhUTzGRHGJ0mj>L7Y z1e6ez;!0hJmZlt)mL3SPs))XjqnSBufa|6Iq)OAaSaaNKIrEdo13#C1Tr8;z@S3Nr zX$0(7atKO!&hK#Dmc7#E?dO-IkVJ#=w#3AHv&0W?ZN%Y=1eJLMsoSGxzguJ~5`&@k zsD*q|9{^6P_hv$ofWGVsN-C;FNc7T#5J-fsDPT7?U=5D1HN@!qh_eY_bT6DeBcr3u zflp)(WLxV5p~v=2@sK^22r+_MHu3L=z*3vB4FIVI7q)qznU-zrd*83&c^671llygg$2#<~r|jJR!^R)okMLMQpp{zA$l zINv@jw~BzPuNA}qRe2e?G{SDR6hNWUC}IUwQ+l$2Y_FV~oBQznwQZvx;Lvpd=HG^h zPdZ@e-;nT9z#QL}Bga`QXsgoRF!Eph_>**HDh}$3r^BJtO$avitv3Ygugss|7FrC1{zV{~ zs)C=30Gvm;^ggP<%ZI+cz9gURwUF$_5C6C_QKbfSf(pntC;~T?B{=H!LH2A~UV2H1 z3Xt>IT83H?iFqENAOHP}xlfEWa^ABzd=06#Dw!N%;*@%UN4 zy7_f&HxW8(O?pp0<7SnVlsH!dg3>6<3xJZcdc`MDA>7C8g9979(i_~=?_)fm<6W?Y zG~@Sn%N;L6)3coW5jY2A8GQ1z0G8WVYSWXG-TJM>%pXGXD1o~Q-H=xe<|8=(w`Mj$ z@aizI4YR;>0*yKHtvB#m5%})huV@3F7mLn_RnQk6-*?b_lf^(_cP1R}f7PEX*GI+d z-1Ptwd?I3po3l(S>067W55O}=W1tyiQt^1o06Hqe(OL#NKWf2CWe{5D1x4&caZ0r}E0zGHpgJ5web0vxZNTE6N9f4B5JYp}`49OegGu6~x| zQ^a&1c&gF2PC?aQ02sLTuoHMZECG#=d-qgwZyINAVId#jsm!0)J#&c9J+z0?;o>dT z3@HCgH;Fo)0K};&hjc8hzl2aN0VG_tg}AXJAL7ye)*oO}7C0XP z?*+-Z)*ykWrvegz4l&Fp=(O_#JK}6pDKMv@U0L8F4hb50>wg*ZR?r-|`V$E5IlvcP z0t%uV`RBXzT74+~41a!3&PhHzOk=jF*NAA9aK6d_V9Je{SvHxw8&% z_dHPXE6|FH0vH7#jdy`{i6UTKU69aD_dpS19r<0B29OME)~!?U(6sF9@9zQtG~N5d zdns*CNc9j1P&Uw>hyKyS1aKTnH)vfN%#Q~5AEji`*0hLq>he`vUGWYF*Xte4R#*A&~6;aKvO_=ghblpV{p*pLQ@Gkz(}lw_|*qs zA9Bq*>wOt`97B^p5y&wgz|1Gl=Qwx!j0~UldF-W>a;|rn+=%&z%INp;y-S2S$@+o3{gvkJ$ zJ<8Qp<*l;h@c?>@9hJI+ZY@zzR7CP4IzFwLA)y*zvtd(o78PD_{%3b53dMAz@jMDe z^k4NQlz$i(C8f$_UIp5C^WW=2z-rW-OG+tCE7J zU~Bnz&zt!Y8l93-JaxS4hAd{VZ_QNmqiz$E$3$;~673Pp|FO!)hOK*09V|lR#xSTT z=rr(9F9jNTDn! z+D*AKC`>*UAkhMBW${8syisPi|GCAFz`&1(Hf5~+xs)D6&Swe+eKXaQu(u=v1^z`X zHK;BkMu8BcH=Jno&r={~g=7@OBwiwgXSOd4xZaw&Br;)iH({qD^N=bnE9-)4Dz6Z4 z_@CGFe~p9j5)ZY;3)`2F8q*JLnY^(_$VUc?6=XbdW%NIT*1v`q7xy`^Mn7i^Td-jQ zm~I8xK1V3|bYkw`to6Yn=mvOBk>w&WCiB42QCbcW`s;JQTH*~0m;!9?N(Vlr-~j7l z4Lb-F2o_*HN3n_h=l5v8?P%#27i)*VkEacU6)#?ZDCp)@p+)Yh|L_IU-A9sHo*E{?CQ!}I zG5`1FRgI2NNoBz&bX9o|B(1q^U0p8v5AR8w?3wmMO%#6c_~Q>eaQk2QzdZg4J7C^? zhT0)}J2)#VD*-M?3p1s9Km#6^mW4%DQyzN{bvk^1u{DA*^Ji&kDePohIWa$X59f9d z<%87J)aJJKO*AddXXoc_U>*m2j>dQjbK<`r)>HNTwr-D>4zt0!rXka}F-=}qdLKKC zGj?Fyh5Ti11*ZEft%O#e5b}K&RqiZV(W};>p*H?Ee(GODq0G@7`<0(+=ZgbL9|c#4 zq4D)DlC$JuN;z&$J!aOY=ND7zOT3wzvos_-_7hfcR{4kDrjqm?lob>=i!ruqe{G~5 zz(!R(3;_#&l&rJ$4jPp@W{zIs$c?RytP_oSb(v#PKuh|n$6rHz0(4Kz%{mP`!$bgm+}DSViq^9C|NcH)%)D;ar~&;8 z)OpCOxVaL^-|9ks8wFHHlr{|Y|MTU4`7_wlyLb+NSpMKyMs*Pp`nDpoIWEcNaA&5# zj-Kf4Da_={AH3vVr`(GM=s$VJ`lFb>XMt|A>;-{;uIHIzPSpCuhF_%Kabco&)4x|+ z7;EM(>?6ilSHP5phskO^K8g7K=8YW>Wm0~6$#9{dV3Y69YSb?!bSQK3kLq(#qRM@| zXrYhXXCBT~qwW>M_fPctmN5gR=JH$*%Vi}D?Yt9$GvQ21CcYgGN3@FR-XP}J82;~y z8mtaA87<7_XYRkE6_5i34r=YSjf#p&DiA(@!O|G;;G*oqnG&WEW@$cKdi0^XU5RY?@M_FD7o}E^}SDW_~l6|Nwv2V8Sdm2%rkMlq-uf$uMS$Q+zQIW&(TeJ-<--2*j zCYst9k`-?WyUF{Y9=2Yoi!7-ER#L2pMgMP45{@cl=fYX5e0Ia+GG1Y}eea4IS? zG@v$xz%V$<+CAM}%cooAcxll0!u&4UgWaRl(C@fndH0;$?7~7~Z@NrB=cP}#%a#dy ze;aW`8ynU<`Gn2lyoYY10H#B@-|9BA?gP7SGY8}ctwK{I!DYlMW%%sM* z529d-&;{aTz4})Kkf8Q*`gq?V=#ZG5g{^6`1%4YGnEv|x9}*Y?apF-o@E+IcoyszN zNp}mc->(_e|I6ZRtG%(b)7W4Ra>vB#5Q!)we@ z?~*J=u{{*S+9t%~l>4C2Kl{j4++pVVax96tI}{Jkc8x_9i{mjM^DCxlmNihmE~^KO z7GB_yl1`zg!kt5!j$5MVF(;X+cD)5Ud|N<5t@e#q2|)DbpAT1$Np~-+8}tRv!J}$~ zQb-7@8;4`xJGj7>GwGH*JzA%C$*;91j{K6jX&XM7n!b-9UAsr<9X}*@p`aRrSBD1@RKH)GYW2|;6 ztKBPXP2PqXEs>%ORaP$?@uQQIS4su7!9QCEaIk>SyK~3g!~?AL8f`+)VKPqqxu|jv zi528mOyDP!Y)|6K4Q}#bGk7SQke#|P2B%hV7SJ2!!MR2`RQU5X=`ORnVjsw~RX@ln zVPw(ho4}HHI8#q%wU8i?T%pjs)Rerz2h@1TKdYc|3s#$=zvPeksS~)l{ zOdoH({1u&dU4G0$yY2AUvW{v0*cb8>zJQ~+I$W&+@_`W5y&RhDyZLzQa`*a!!QU36 z{2o2VZ!=;rQE=7<6*xk5uY(+^xl+M<#sHywe#Xa4@1lNI#at+FR`(8^oAvz2rJ0eS zB7VCu{v*~c9}mSi0mVz`6xLr=Nl>%TgUH?WQ#^Sl#ET#qq|-i|szHZTdEB`mVq;V5 zyBaOFZ{878<@@H{d88iXuKty_PQUMk#+g`o_~0-&!{@9gX{@1d6%e6fm%Bpc;>5jN z_u|I|sw!~Mlf)bzK5y#CsRuCRJQK^9KFqq7;pRG+TuA6KOyb)R@;UR+`S$Vwr9K~5g*o?lwW48k485|AAoK+uhg1JEgl(jg|3|niy)7iwE z5zD8iUV7h0sk>ES)q4j(#J5P?S!Pxi-hKS)kV_nEcl@-LYbXZDI(7tGz17Cf^inSAQgXI*upiKqS&-g4@`IiJhSClfmpDhzYPR7XFXCA#4}+K&U*r&o}TWF zZGD9OsgzcJU#Cs9hwK$KM2aGzrYFadxuN4@cEI-G&Dzd;>NcDFgLr`5EpPTxlk`RX z*#jbWlTH9qVUxjgv$2~^ezzBuu`Rr+MZ>(zs{a_)d&KDF)zq5XC!KS5ee0*3e~;XB zKRxiE+vQL%&ZzI^)uuqh`h67^spW%DLBe~#(%&e!y1MQuoyKRlI*ep@W6H0&>jT4| z57b5R%U%A$dl0+$bT=1g(>LY*%56T|a2(7e5wSwDXvI_X12rV1(9q}4-=xIp-eV@N zXlEBI0ocmngK(g<$7w@j$znYvxbuP!Bn;*T6OfSUwX zv4fnt*=G;Gp}UIU=_4nnq7>d4R!K?8qw8}==k#m75nsv*ds$M&o+(%V{r!W<9zAP z@_1!2$OH27i%G2mRv6bRzy5f@xuk`OL5oUR-GwaXf4BfbH;`7)LkKGX$5@8QhY=qe zB{;4JGm4ei;(L$_9<;{=TWDG?>-QO9=AA>* z29YbR4KG36zYLMBNEk2u8Ybcj(9H508yg#@TM*xI{K4lX+fkE*3DDl|gW$kI?e}+@ zf`ZB$b}-wS8Ou+W z??h=#5mDlD06xPvsHUEtF0XCcXS(JL9%2KAbn8(IvvtH0VrZ|H`Ck0t+LHU zi+m;{tdSdcrnRq3dXj!w15Eyrq*9pGu5ULtKc*g)qYnUwssK}==|n;t zSykBIpoNeLNw>7rgLfynMpSMADgLH%A2+(at*sZFxaq)Lp1c;2rO#6Pg^&<%S38g$ zqwdMhsrVk15h+1*y*dwP^&7EQDZ?hWtQKe|0O{s7H#ZmICiLeJ5fKpuj9o)dkJID( zcrv$j&3N89Oa7+&MlUjonb+Jx0Lc>J#`l+pkSWqLnM|K}p7OGh$C!IDuj4DezwJm= z^8rKloC#`T(16)RMkQb{Y0&-3ff@-M-&ML0)C$&Ge7ra9%af~C`%bAbsWgIjZZY{> z@%ZEllX}oW1f@stNXJw0jCtQR&JL{u?s)!SgF=5ZHOLwTECu&X8lhk?dCXiQ^WsaU zLV(KtWpclSIOkYHS1RB$9h!(f2B(FfW0O8|+tbLO!pDDB^=n1+mpO$HrUpU@su~66 zdVRr1LkjSI<&>4(z)au0qpJ(x+(HE6ryi8cX>wuPjF7v{|fknW!i!tpFAZ>%cmv41W5KS6G=B^~s~o8PnwU zSx_gK1dOeKzK(=pmV^uqA4Fbmt%1+dp0`AyVZ8wq$jW40 z;sdadyUcv`h(l2%@)}`p7MI|C%HfgeS1( zj80_UM?&2}A`N?Nql+Sn-5RR(C3sIpCATLlqJ!E~aM1o1Bh(}8#qmg7OadHg;L_?} zpxO8oe~MBnnD<;v^j-MqxTgO-t83pqxZYUmXd&Akn*k*avg5*sqC$ggzjff!(J;+` zUc1GKPY4ijQWM4kAFr`49y{l1Z^cGUQK!n_T?Z58T`J>6idUSeIBQOpOloFW>H z7;p?g=>#DdtSw-s0W0HLgAr0%hQ&zYW6pJzIF#J&!^aF?YK2dWD-!1kTLG?B7J=M7 z|B_d)``iq*B_mPiWdZ7i!{P<8kn7s_p2IhEFk!NN&EO?X{Gt-j8sOv4sgg&%#5Sh6TtHBk3C}q4KHW~K6`Z&<94a&pIJ)?vsPG_rPxCTVzLrM zoU&j{$@W2a40)t$A*uJG2s%bM>?Yr_U63UY1+Nfyl?)oyXZWEpH}4}&a&t%22IWvApZ*zU_5j9? zJ?iVlJ!tsx2OzwP?PdrX)WPV6%!bOT1u&ApRU+9EQWgC+bq%=L7w+v6#7&e=WNa0W4Q00NZ$z-d#M8()?i9ItF( z^|Fp2kW$qutWV+}$XmCD9+ypT+KZnU|FOsadEQQF zJf{t`7_s>vZLswQNwV=daIPFgp-uWS(4U_@4ov<12Q5-o$=0@8gmJ&&3?xa z0%9$?{kzpNxrL>JT!}$A?K(7XUj*nGfp@cXyS8N6}0-$fJ z-`?KtsCQn)3mPhSp^VpXaCpzO&-~AJt8%xOc==oG-)XDyuW4J+@gLLnTA*-gfkA!r zwieoEs30C@{qje;5lTu*2yVVyXJlb!mIHkSQjR+wAVz>~NA8e;`fBj4;`CA_(NZSP ze62@lU1kS%&U2D7%oR~l3)SZawE3GvW71Q*2eF#JlwD&bH>K0jtfxeOXUeSMK0{t5 z)2Ara)FVf`mUCf*sv0h&whIJ0>UgMqRVCau+Uv|$60RgG9Jwe4CBRkgk~JhsPU$is7x+X1+V?oAwUgW zAYm?4v+AF?kG^{?=~NXRDnH-xS|(ty04f)Q`44oc93KnT?Pso4wdpNZuVc!20$r-0 znTfh{B>V1iX^lozD)BG+&@>ZPwMm^?lC(G$P2*7&)kyZv%OueMpSiN0IQO{e>r`f| z-!gkgX~~b%C}+*KDP1a`y$SAIueK>KCUM*Ny69SY$)fN9`N=jJEd04+yu)`HiLtHe5tUUfx5!<>e6UMepkY5{Q*q7=UL$wlN9$0%$jQq1FWIxk>=1u<+!HjBd zlYQaaaKc8qOh8t98f-E-PDN{P8O5i67ro`;c!R2ys1A42H%Od%=Twr*(xdnJ92@3B z^!wH1O;KBICTkg*)6-iWj_Dej+F5e#G0q<{1kZ}Mo}vrV9@%oLlkO_FG-Ez*DN zHR2pPN53*Ups~*~b&od2?RnwhZj6gy_(hX|#d@{T9 z{zE5c&$XzI$x46i{*7aKss1%gl)+biHgHRHF0PfZ&1c7yD5(9~@#1SVcZ+s=elc#X zMI&z;-~5GnM6D82Sk#7JK+E}FF(MR|Xu)L({+~WRv-P-z`PzMpR0`uN@9LdmE^6aY z9eh4DdS&yPiPP`=hgE|IoTtaB`)`Z9XJ18c@f>Q>kfg)cN!r$=0~ zc2S{knfp#bfjY+|%_4O9E&YNE+#>T8#*Vn_dpVBT8I>H`2J5}+KiCH}Xd;pvE(Mn= z>E)67q95au3_n<@y3B@ZR!FENn&%;-9hRA#W7^oR_5E14F6Y5=V9P~g&$_l-?!U3w z-N&VD@NMdkiH6bhvrkV9n5-R{V}HJp;)<@gYAb9IONw>-b@cUO8orTp9`ppw{o|Wq zR?3lX&R(Il6fR7Qc*jp@o*7QgF;a<~+jisRrV0M)d;H}79KFW zj!nBzVyw{+zv6u3po`>aowq?;}&im6V1PT&ompZm$1Ym#bw(tWQt zyA8TtKY2TysC1Ey-~EKz`p4#hDM`_xwDcs?!%63e9g@S3N|P47R)r&UT@{hA{`RL7 zN8Zvot)w2nLRC!qv2WALGOnq;={^Pn4=`K^j&zxhXNk;DKRYilR6ENv-;yBHBYS>U8-t_ zS8>plZCzs-O$fis!R5);Ht3%r!hDtUyl>#N_BPep#6hxyuU%(X4t*N6t>qz;dKy)E92Tf} zDZhkxDlBNuheqe2YR4pR7GbTy`z2`t6z0A%dW+IWnSX!t>D2^2Rpp4`XNv2N?YG9t ztl6xMqXb&6aWXHeCwm)q$TGB0CWfKf&%*z~bS6dRWP~z{a}=|Es3jXu$6RQwDK<6t zDkmhJx6AW%nyz5(=;TQ^U|e(6c#N)AnsZwd((fz9v?M<$IJ^e!yD?+$JyYd2{?nq7 zKP}_8`*@g-^$ot^PhoQ&y}xTf@uZ%*5LpSWx+iQOY+7-zJ=^!M4c&xAj{cp@5~W9S zxMd8nWZo~O)m&L|51-x-QNz7bMYV6A3NFuvZkTdqntkt@qpyM$e$i#Kk#}+_wxCA3 z?cjB-(w5Wq-N$GcsWXy)n#h&k0fvD>-45pWkP!(eDX^W&L!ky9k}U?MZp(`TnE-`K z;>0jGnlNh^wBTdhdYV6PR)-SBF|KXmp->o2pJ+eV`Kw>*w_H)E^9CNqRf>HtNTHxf zy)y_=Wf_-OcePp9cmwA!laa%7m;MK zt8<}Wz7A~sY=I-?O<*JWyW*{9FSGO)xa4#9-=y@J-$0-eRh;b?!dlJ9{AT4 zm>0pW9&wSs`WLK()>0Ah#SF5O97B+1Oa7p`oPohxpbfo)KIJl?UW3R>pqXw{oDOW> z12BT8<6Z6K>nYd~MWK3wb+qA#qYd39kHvLhixrU4i(0{%pXG%)K-;d+^gdRC>1`08VWzg&c&s8%k?(_%_%ek*l*p^mE3Pr-p)5XmZKMS{)V_d zwXW^PDgiCbS0CJ}M8RCl1(>EeU>X5N-$DGK6+iAdJyIU1P>8!Bb*z zhr#nd6WabrIB_*71(?zBZiavsmkrTue-#42u;qs!NXUj3UlK)<#G!$I4@{&nOpA~V z9SxpbN^lxggg=E(CIM7gRQ5ZFbfE{==Rt68vq@eB{;xV<@ULzn1Xy3N$6tm-c1S3n zFo2qwxcPUhBjCx^AOLY5x2s&t%P;B!F0~8Tz}sy9>dov2KO{}?0*>~D>A?3@SYzG3m7+^F}JWlxb2vX<)RuT z&?5jt!9*j)QTVdL_xWWc@)&LI}aDbxiwY0QrNZ-gT0n$WuAzA#vAjlL7fZcBm zqJvBqewYeKVl!AAn8fJlfae+wwe;}SA=nbQJ=2} z>NR+?2R;pt06}?J<67vu5o#C}HdSsN37oYINY7mYLm)P{6SxZ-;OGzi0gIjcaQ(aL z6=(w^B(&iGLJ45Wku`GIf6oa7Hb3xw1w>5@$zS&be+*|JiL!EV$OC_J7@m>VYAuZC zaHc}AMw;#H1)S*-u-6_8-t;|kPlh=GP@Y!n9&ln1wk)U>yk8i{M@FW@cRo5c&gEPFt#pY-jWo~)e;N~H8~>Q@g?n|4B)ff=-4 ztiVmeyRRJD9hrW+i~TLms}rNZQY>+v05-QNQ#|5@o`x_OErGwTySfd2Q?bBSc?&ea z*H#`H4nS~FQ&Bm`%gw{n1AgcI;5Ifz2d%AD0j4})LdG|ouvow75W+@9u@q$+Lpuc_ zvt%Fv0A2BXGN-$Kr`d>>1H5)i-T-c%-%mJMul zO@#Lig!fN>hIEle4ve{c;OTyGIY#;C1*5fLX)EuXq5$?y4iJOxLy*S+$S*+sA zt&XTSputoOlU)k{H8o&T-n~-@OdI6m27$sEh{cwHB>QhbVJJ{j7S{kyeQ?h8`l(_> zQ3UUdd+QW#ARp0SuqY+vOhkq?f@||T5Y!-om4k!jVx*aYD2;@syvvX#|HtCXC>0kC z`@lj{q{kg$221urHDxm(!zi1l&ueK*6fRdNC6@IAME&4nhU{9GJS^n7qPA^X3BY9b3bDo ze6LiiufHf16~4X1%E@URh>L1?T5{%p!!NG_5b8TP01d)a)sMwSy~JrOJ*$)?{0`h< z^$`)y<38LkmQmxXp~}=cH23MbAY-Hi)CcKDi-Qy)W23JJaZ0K)*FDE=M&m;ptE4Rod+

-@Zv;JZN0Gl2#%9+ zP!mRr93v_k3k!?Ia**)NgGby=-}?Fl4rTA>%>HNYY2SYY!S-2T$$ItD3T%n|u=G)N zS9SyAb#=0IOL}0(&tHtmX)D{wonrtr6&O6xVlXz&5dY zYi+cw1z{Cky;KW(hy{2WITTb|Tm@3|l}6t4paGhP0*7=|1=b5b#NU3P10a5W;6oAW zXo4E56GUG#Djr?`(A_h;V3kz_LFZjTRj`KbgumsNPk?+a4s?>y-2Dq%U<~&)Zf0hN zTEl=3VGEx#)`(O@2)3T+`pgDE0oocrHIPzy`hqj(}fhcD#*X>fu(mIPeNQ{u;O!X=Y_*EggPLgdNol zmVye1Q+{ngY7;>81X!ARhC|pP)fM$kaiMizOD2@AttH)no*I5p1zqpKDJZVc&{~hnW#7Ew#MCJ;h7EKGq?z!G*rug5Xd5Z}wP<`p8tZ~jz zr6c~K1vIip7Ve>NazR3Q;$=C+Kx~rWlVB@mJc0vfX2i>z+tzqpAKIj7TRua>b}RTm zPH>$NXgm^zS8S3z*qs8?b88a++T4U5d*)cHYKP44AL6Z`M@9QxrTc%}N1Wb7>7FZj zHLrWR(4xEjl&(tUr{(wfJ>xuWv@X-c?(sKIs!v6#OM13d^G!W(vMlVvo{F4%#iH@Y zJAtx#r|jr&qBM7)Cx0i?P}gdy>Cj0WF!oR+U?2SFpMU2Z=mywm@Z|pCc8eG|8Y@^h z;Lyo|#Uy@MP1U`A$s~dyBVo)#bon&?BICR}o1)qUlYMtfp9eh|^7$Qjel*64_7Y$@a+@GX=RY=4U_bR!L5ja zFI;PcU#A!)(x@#oMGGAJuiHsZCfRD&s=Ir74xi}>w+Vk-!BnDmUFR&N4H7S$%atoa zl8BdpByOm>_;h-MY=$7#mxu!T^|M_@TGvXPxv>cIEEs_2dT_t004GS>O{V(GlgG1e zrAFcF#9ecMraJ05*)qPqbcXr^lSmWsu zmsutyD25}N!xG#c07Tsos!i9-v7yv@e6Fq|DuD9Ybca~tVo<-vge(xQe;yWV~l?FekM}HACkSqVB5VBy} z&6;=0Cx3p!aD-Crl=Kx;6gQa~%(~wf>6_VL+~T9_z5*uH0I0L`8LD4f!H2G6XA2X* z^J?E`dFkfkN6Ts{?OIeU*UIw_M=_p!dfQml`h-f2dVhKPdvA;8fp_V_HuHN`M!_T0g=1iuJIltF|#Ax`XQMc{E2Y*Pj}pUo`)`OAy>xIPrOM z%^$0Dt)Y8)ZgRup#oYkmsO8qJyzqgo$*C<~M!W8(4*cFBuU!=V-|-)|he8G*{P%#I zt+un*c7R+NC34F;fh*g8`b+|)!t7|hO##r+Z6kjLA#b8u(eKT2t_yV@N~GI>rsmpy`RHj~U0rEQ zi`tJLUj=?CR}0_9H&xRp9crN;dh-VHK%&Yhx*gAV{T9?3{-5(K0`2z`H(i25G9L-Y z_^`C=M5d4X0mIr4PG4>7Pkdq@>seSf2TOwRa8|z;IRQ7TtF>B59Ap5sQ0DEJOUl=; zUw;6{UL9z5^{6M!^Lxu2+v%S0H9guca)UTkvbwtJ3dNS8t++=0gunjJ&N+T#Zg+G>6;)jC)-#v=pUcn<@!K0GI{tVdi90>Fj-#!Q(9CsC53|Fzbs(!JT- z)bt!MH&Vc!L32M-{#Jf|zAG%hamS4|UoG7tW4xCoP7i8tfs+Wa^^2n(9m1MHOn(}0 zt_O|OW7!%Hkgcz_{sdzvSHR-kkCf2+K9eLpH>q_+PbBm?QIJA9dghpmqjP7N6#dl6 zCogsqtnrb24D4jPuh0i? zHPgA@;a=V+G)V4noK5UWZ5Q@#-owH((kqsd8Hb|Ngv*PGgDfC0$pVx`skQFXII%Q6 zZD=K2{b}-7R^7t>(Rx{t#Ii1hjrJ7iT1i>eI2#c3iZ$E&xgH`mJQ%1k7n-a%tY1ItF&n%v^ycr<}R!)`b4U31oYK(4a!QIP6^E` z3ZJ|VPN79$h8Z8Bs-RhBim?K#iJzR|O4FMr5rj1eRBoL2hz}X|D6JD7T(PDY_9vHX zgkg(b)$ke@`w%y7R^i?Z-6;iRJYVXKi=M)+yHK^EI^E>kdEuJLDul_tK*S?86i6(O zZkn(+RaeVGkLx)U$(NtHUflPdIriCm`k`^HQ)52rH3)zz2;O#j+EL`Fd=nH ztvQm=%$2?A+4<0h_N|Lz%jfFQtMyJC(zX-rISmKiF-RPbmrZ9LY}$L-mjaEAEa;iM z3zn2sZdY{|`yhUly;2ohAcH6_Zv)R;tAL0ZM1t}Lbk_#GiUUz+I6M8;Ifv4I`Rsv} zMWTwTs#ZI1PtD&YMlMDY`sk!e87cXyP^T=XBfe~fMMXCOF-jw)vjq@U2~dO?gqF>7 zg4i$Ku`CUN6*CPdzWv7I8ob8TSB@B8zT6FrUoEhMfxb!a$1}y6#&&i_@a6ntl>8Fi zd-uib$UEvDp>Q=>nf;%0^j-C$Snb6Vx&_JzQu@A;rGg<7 zkJ8Z_v*LE$tvzZC6S6Tf0jeurRKKReKmg@Dn1G)Bl2Pn8G&;(GcsQiyXxiFd6maAY zM#*)%7a^Xt_fxf#?*XdyJoqB&E}>VHSLj~b?v3uR= zIED5pb*|bqJk*5{Hy`0}=LG5j@u1W$5w_7!rjV_PH>=CbZ$r1`rTnQ=+yo(4xWWM` zKt5Wh?ms0QTf5}A(U$ezHe?gF9;p7HLvbJea2Rvcd{p=Mcx|Q#V86Ra`xmmvl2?N< z%yka?)AM9i6vSLnYik|?u9U*w=TY{LNfWp52Yx+jscBw{i;K&WWPf(LhfUAgnj1Pi zf@yl_H8ef$p2Oo2&MHPQCr+SX0)v98pe0M56(vF#A|f6UU?q!~>PEw+%0&>W0Haq{ z0;C5Ie9^Q<1;t3vz5bEx6E09QPG?%YUpaG?Td@N>t$;JdXhX7}2Bq(4*medTjMI5K zSKWWSi|GKFcz{;!wd8TyH!sGe6*{lXCG5fDU;ggq=11NHjr- zLK^+4J!5v`A&TepbpqIN#68g+$)vP~or3<3kMj?;mDS+(6T7OWS7DX>y^OPv<(7&R zNsG%hG!cO4`q3jh9uoB#4IWKhP!V3ZoaK{aTHe&$T=wYE49KKp*@U3OsM3impVMX! zdGfbCBi7cY&CSh`XLY&st?N9ynR-%j_0;J_R1TKH%?xWsu|W?~O(*SunzJQSUhfoEdti1^o|8h-sz16(BMRCah2Yzy955GCfi=lT zLLBh{%If!?2@{uQ*yF|gRp+4dfz!`AZgSF=i<_Gow6_b~Jv?eo*^vuPk9GQoUfDCm zAXzqKnE8mWO$Ui5f1NCZOHV%rO?~7Uwn)(8Mx>4U=8oH2ll@I`480#34|xuFf_v=& z32l?MPl1WwaFZIvr5ef|E}MKPTPnoO8}7@BpzQU<;?F~fCjruVWPChpJc#?dAsNq> z&lqvD2WmFI%{gI7#Foty+NoP%_yXM*}jS9$=$Xv`z6*nY)@ zR}+VMP?_M^ySTWBDC)zf$IZj_oP;F_2UCS(Tlw|c%K>_&B*q+(Wz@3f?zNY{Yit2G zL11;|(COh8;p1Z*l>O&rBT4My1{aj&-krXqAW9(#occej((RU&l~n`CD9Ps7kgpU5 zd|x)Et76R25s4agAip^y$lj2fG8Di`Xrk@(58O_z%MB6|Vke>BhSVK+>>(?m<0A0= zc$blctD74g1oQn+kf~4t%&_wh8j_s7ayE*gcX*3u?9RCFgH(AL245eisgrgXwBh&e z_#JFy@HM!b8F~Bm1%j^45On*KmzNiebo`(e>8r55WwCTuHg{T%c9KT@08~;82)=pD zUC;*994-YLFLf5aKFXP1l<_P~s+dmTGv_+)&7HBh{?uafMI2n)`G0#Y2Erx04T9Ll z|FslRKcZ@o>8oZ`J+FS!`}5HI_x*qhhNy8n3EUx1VNrBM?AbDYFi|LJ&vO(v$6R1! zWE{`oE9H`OAqA`+lJpwjATOKqISS)%$A zrVV^?sSmwE$tAfdMy#~OaUD=_Zu6~h-J1Xs)h^gO7nk?dTONMW+?)euEPk+L`YM{E z=5Rt~q-##fFBDnr96)WVU}$2YN|Zes>XNGmXLZQ=xLH>!c*J?xuj2YJx2B@B4fV2I zpY)5-;{tu{&A56L2(dkfX(%l(X8?8hrh)v^y+E{uYuhL#!FGmlo;nOWY%L!Pv(~5% zz`VD>X%<3M&ss}M3+5o$!PuRKp|@&yU(nUM%EVI2rz9Mfui`PP0+bUwJNwhxS{d+I zg3$x+6SC*l>g<0n$(YBwtTA3=e*O$&j4Q0;Ioa7%83Jh!K}LO{pF>7eK{IZ4%x_Co ztPr++um$}ob>v)frh{^DHclV4v$6ac8ZF3k^umc@6CnC>IW9Oabnh^Yi)Bh)Qdbfi z>Qg?rdO0fd=Bdo+_+tftBUH7H`p!az(J2Qna01x_HlRd1s1)ELzPP4Fu4Z0wIu9oV zK%wYCr7(8agGd7K0cpQ6cOnat`W6b+qR*CHl$CgCE7Mce&d2=&>03D+PQaTuKD9ih4gvcIX zUqIdIAHH^m?wxtLd|gYuiDQT2!e-_{o`XFi2HEF4JjCC;d2` z(g!l_@s8j;J8At{LV0J*v>~CxWU`m!4)nvC>|yQn-(5TLTtUHb;NGeiE-2Hh1LMQc zyHW!wavGFSc$9ok`YN0FA!ZA(on-a1k_T|JrLRn5qzYpK(cDq(|8U&~T56}cAp2b~ z?NSK~kQ_SoDnqYxj;3HG=*-taDWT-DS@O>Pq@kEunga49jTQCiN%rO`dt=O?XJ<+! z+jr_|zZp3W_O>&$>CRp!p4oN9E(A=sYl3cg@tlhWVSTDSUQ%a7Df3y{%Ntig_mxP4 zD2&sAB`BT+as3oRWYF~xpGv}SDa$AaF{}v{NT2@a2meLWQ+mh-C*MJ!z63AH?SjF; w`-{gO9CoAHI{sbye$pMbbT7+)dNtqoC7Ej}-i3>f2$l=0ZFsIi(?0sY0J0dI^8f$< literal 0 HcmV?d00001 diff --git a/Images/svgs.png b/Images/svgs.png new file mode 100644 index 0000000000000000000000000000000000000000..8fd848b5a500685d0bc0bd5106144ccebcafdcd6 GIT binary patch literal 41093 zcmafabyytDw`M~O2m}c3f#5E|Eg=Dd1$PS&Ah^3GxQF2G!F6yO5@dqw;6Av+0E4rW ze7|q+?mqWEJAce{Pj^>W)sZ^qyl;gmeUQe&AjJRx088fmI~4$UUU zdm?`xI7!H;p`oG8ttc)dPjQ?jwVYM$%$(hf98Ce$&o0i+rj90GN6-P_1t9b8jhg%H z-h!K+`Z^hKv?;oIFt})olVHEr^<_iCFLj+!I`Jh7k$@_T+s1G7Xg!OH+S;*!iB}B; zO^gk>U-vu^Vw*R2jiI6bL=PW%w^NCCiy{U#1vguVQ<5Gc(ooT;192qKM8kgJy!dlK z&5DPM{0{u4>b@@eWu(Jj@yUJV8#eK{?kh->m7(3Of?bV(xcep{HM?Ukw)9ZaWn{uq z^4~{F{7*#Fh?m!|_KB!4E?c*k_Ouc3V@exRHWL1-Pu+U($A`|A%9L;oUlXZ6Z!JOX zLs+jqxwm?`43}cRVOK4G03@0gVr^gQ*xcW*KIc09+qZ;ldG9uZ!Q7njOalUG_+?17P?;AJv3gQ|(YaYM zM7&sPwKj!btPPAb8;yY7sDv!v0RmUUXBKZg>n-rt81bZAp}z9q4_HrpVui7P^41St z=HG9YnjF#sulLS59^K5(v>bP$LZ}8qh9*hm0URi}KRdK)OFu+>4{AV{q}N0t?sdz7 zh~pt|6CM6%N|bCQP4OX-abnYyIt?8cPsq-|F}+kTTjJZtf`B}#XIa}ORv@>kTy zz>BKXBsJEXjY9Ft9y-(x!~2E7C5WB5F;SGy6huGad+}p`TcaHMh_mT#vS_;yFbQSW zcR?mk28csp#eBu~?kF4U#Bstq+H9u2Z--w9qG6GQrFzV+W<%e39D$C{^|O2ODpEt^ zqBy8<{`(z)00FN~j*wgiHw)a8>lwc+zk$DU4Mp|8?j<2iyB+_z&{B(b?5aw*1=|vz z8qm;f&}iy5Y^VzMGiWrbpC+dwn>Z1MA5uia$f<_!+%|&-g&;q{Zcc{|^6v-7wxZ6g zu8gGqs$gH4{J`%~pNn;=xNbhbQ(?%Y{2bU?A}`z0!-sfq5=LYv6&Qs2+D3f11E^g3 zVAxBMjH4MOpU1y(RyLY;g8jRyOpSG5R{|{-?UAX=)9h|D5Rd$#bjIl3kNE$3#Y6w6 z{0Dv_eyVV4&|+5IGk{PU>-W<57f^L2^c(@V4?}wjC6zBUu@i0vktdZySxmpTiY3%Q zh~h~S73BM$9Xa;zuEHvEEq30>{($9W7@<*nEQJSseLmRyzJmz;(t}EWZOl5VUA`ys zk3|M%y4%ro*4*oX8xUP|9GZ_5MDKRi3er5|=xA7LbxTLYhxF@asIod+TsexNRxT%E z^%%u*eu3uqZDcwOFAix9AwSMgmQNGrnR(>OH{n~Y%sh?3!{hKmejVCenuu0DtN?Pq zSD0n=1W)Zm-m{l-z5L?|+Eza!9@_}YmZApNCzctqZ60a>Mmep7l1Y&yN~zeJ35xE`1$)gfr4Y zf*z9&CRUAf{B~GLT)ME@JxTTA|DC3l`}sibYiKPn-oD{anF@I5Rq?P#x|e+G~< z&mBvXFBve~Hp{!c)MWbkW5;m>fBTJV<&0(jQdYOw?oxqiqLldIoR0@~8Sq*~>Vu=y zhsq=RLs!c;xF@$O;Lfy%m5V+TT#Y>+h1}RG)~#Hw+$?4Uie_`bl|y=nIH;K$rWL35 za~{XX&Ni1aS^TXF@pHc7bHyG3sNM7Xfoj-9m;UVK!DpCM__*f_UwEQ_>IP3#XpXxr z{_uf4cHW0p^e%Lr%8V|Vi#BiFi2UOhC4kpouN9a&M}4MQZaNKC*g^)G4li9>4O%Y0 zX(vnkkxkCYQLFeQ10hE4m7C!8Va6%BzLNh`75|(MV$K4S<&V;glp4@Gl537nVW zIfgNEiJHUO0OD_99r=DkOOT9)h zICe1CN;K7uhGBgAl3TPnumulEGo3;0%|pv!49~S#ofnB8A8VUecr?cosptV2`N-K; zV>Og_7J~M$R*QBO?t$64s`G`p-||MJd@;_Z!o=zYzT_zW`hahp9!oe;mFlX%2lk{y zi-nV16RqZAA`iY%vj!CZ*Dr96f>2SY&;V&P6ba=HlqbXLO}eavIZ8*ZE}}Tn69Ul> zP&*tnN(U`LI^`O`GW?<&Q@276@m!lV(eiH5f<&jT-VNuLYJnEbFvw5|b7;+LJR>qIK|8#J2>~ zVv@)@#}qO&KPUXIVePES%sg;STd-{)i<)FWd>`)rR3G~AD|atBHErcsfrSn&PG7;; z=>Ti0@#j?bl5F;=07w;~T`Js>{ZUeU7Ql<|Bp6A7*R0P|1_+zvdpI#G$cl`aJ2hfplvEtmWeaAY2!U#OX5N$m zRFvDA*?FYA`dU`HF)ksSpm+`i-3U8Hm{808H?oi^)| zMocbaG>hY!dhs9|Skk?Ti`8r=$k6$5bRHumM4K75E*K4C4BzNDW>iww6)Gg-2s~z* ziojjCN2*+kBkUvfiDvI2GqN`6CJ)@ODcUMV!@N*g51 z&O7P`A~k6Bhrw@2a|jQ0!c8t5mf=pIE5wk-KF?O+(cH(fW8P{kt45aCF!mz}J~_6cJg zW-qIDxt&*@qq$%$!Y z6cm(~VwC#9phd8uVV#uI>Y7Dd(<=@wjK6d`p^)Ld+;MpAcb#FH zRk5jUV)i5WaJ76b4sxcDXt1_Jx7ijy_^UTeH?YAg{Ov?CL1tqmx0RZ!G#37DYKF&)Ph14Z9<)| zTRa)x;RBFlXPv0g9{WIsct&-VQBcVNk?Z`BP84bR&rj7n4IhjwLIgu zvRiE3U;B^{@492^M3YOyTUkH}?+-WPQr#r*cuP+`v{YKG#!Md-b8>zz>z=Gt@8(_t zB_$$)lI?fii}>cgN-!=?{7|fhdHc~m;OY!mp4bMl_6Igt&4D2IsJjn+TP|D3&*C_Y z7)p+UO0UHAL~JxAY@1$toat3JkFQsnQNH&P6jxvQgh5FmhCd@de7&EXECp6Dbd)ii zC*1mEH=M5bGiuR(cO*0YVL$9mCS`p-j8#bN-Hhw;6}1aSF`jLY{MCnx?bH}b9|$ow z)qJD9JwlN|<@LJqsS=*%ofRrDWSih(Q01wWebpf7XPn81D_fmp0XuS;7V|;T@4fglZ6lJZK^)#9r2z2p&U|Kbm+AsjTlC;e z&QM`7gBeFDeUSN(+ihWPW_Q!JE!V`F&?w*wkJt|3UJoc=|I+5H?*aM?&q?Z`h-n5M zsf=FG*>bGD4p?JB9r!p-t0#PajolJMKgw)TExz#KlM!4ay6ovyTtwl1WJ98_s^^CL z2|>6!AFlevcvw)Dw6g;V@5#%sciym#K|{oSW@6^S_Vy^qtKsTwH|lB)He=|UkdUx% zZKZNjTp4b_jDuFDvAgvf9jLlXOL*i-eB7JN&4h1~$bsTt4WlQ7yQT0}4m(Ix;&VB> z3pvxFnT)D#iuxrKDg9=67j=ddxPqK+lcmB;&(TG3oLw!pCUZQ6a3Gba@BAn3B)0@D zut8hS+eKC8c&R*#>!FPM8z)?#qU%n0?e^!-pKn0sAC}r-*Ki_sF(3Qm3yLE}*A?Oj zjdGr35<)aZTJ_?58d86d)i`MIImwbWrqF)}v2jZlVu^lR)2gte5az22KWwT{zbu1YP(?6(&wmMz9t z?%1w8yx-29Ur?2Zr3qon6;?mFAZBNeilhU8+^d)1D4|Jc;< zhS+X10-ussV(y%{I@_hQU>w}0f2!pA;-Nr`0x2a_4yO=>-@eSnTiu`bva7z6EDp3^ z@-36fm(wlMp)c4<>KPj6EKk4rK_8p6K+n)~2=1qqg$M!KPc96a1Jk!#+1*?$^8rhI zlo*%Jd)ZI3Y`i`{NU9ir(ww0SIyV%R^LM|o>x*~oUGm}GrYbj|qc$m2997iu9@6S# zOX0QRwQPg-I0COnMZTzQS1fDS@Nh@6;ud=^Na6$L;|2L7#LF%2Ei!_tAL@rbnxM0N z>%BCq`}sJ2V7xQPd^50xF17J--M?}&rSwcx&?i!V~@{&0w4M6ap-(%BF9@DrY zoQZIUY~Ra4XZ$tXOI|SWAii~1$jQp{s?sRhg;Dd)b)Xuu<7q`cW_gNl_(P?HR^(UW zClN7bYLC&uClc%0bP|YA_UB<2S5agv#u~!DS#Jx+%e9(3_ljbvsn1%ZooDJz%rDgi zVum3}@WgJxV}z4N*zRPRyu7?#wPT%Bp3l{(>O`{g-Q68k6uGLH`l{2Q#~%l_FfVX6 z&W{n~?X8wyS?Q*ub5&!?MH*Fa?Z*kRuD|iPd1qTN-R>j9(*2x`B5U~Y_B_qb`7<`q zV7qX4-cr6pUYFOfJ7qVN(Xf1rcoG~O=q=#=`ThF?OW^Vb--!t~9jMyz{>~f(9JS~IWnYaOW97AXmwi72ZHV!qYJ-WFz90L%SNq1-+jF9ZqEp-I*BxCcM`*^j4YW8= zVpGuWfVi}0ZSo9Wd5s=ZspGcR4sAFrNv1bP&;`|(!E%*UdP6iSZ+LMpYNygza?>a? zo7TP}Lit_7~@x)xkJ+cW2ic5`aMIm67-N zl-x(g#j@-hsn_w@@?l0y`c2kHW|BFMg)9}KP%yR_o4hw@0Q^`MT$%4-|H{7+Tv9CmgsVL{7A;X)#z*S+1ye*-6z2X z9b{O3yMre!jlm1sw^4u>UMA;?b^!4(TdGINg!$`^eH znPU?^iDcPCfD-Ln-WJisheS9RUi zsNM3S+?K|7vECw%)`B+Lv6n+cglBHW5f~x)U9^6F_hGQSMAnGOjZ%C9+3v36*hK>a zbZoo`COKJ(w;_dZH*eC3cwCqfj&5K4fFL)&lPn1)DskJgJSn|q5uI|V0FRms&p{DB zuTGR6`{8tZn-(kcXyVGvm;y1?b`dVh3s0zJoleHRZiYdF=b%vZI*@G|u@OiAPLxZc zg`G)|mkVK7zdbr3xJr6FlW%KpecV+P8>g9(c$zF&(Dr@R9{|Lwyl(R|45On`o3?$A zetYn|++DnL?Ya0pBW}h=#+RG(5Y7IDm8eJ0i>ZDqFfl@AZ9u`{O`iGbc|nu;!}?G= z!Bs*o-Wr#oVNg#L8TiP*&SnZg0Z_8hxb*HlE(faf@^ag+=wN3tKU|#(L)SOz6TH4# zH}DN-h^&K#H8KWK3qAWkMP!--ALT9ijshxwAUN-8Kb}z`2AgtcvUVQ=NkNp9K@xFRI{=?a;&W4B|*q-{9d^0i*3lZs;H&!OH^$vP~_@On@Hx`|JCNFRmI4%N<27+Xvm_P3-Ylyathe&*yn^ zekkm`v81-DJDxC{x*DC;kAlOuqbA*fDkm~qdkj<=io42C9Nq0H3QZ*j9Ir$@G?+eG z_l_d=|=!JtiBd4 zP(cBTEs7sC7yifx{8e&Z;CocayuRen9~Ca(zTSKXuVytYyTG)7Ig>hP4)dDE#8A4R^}BXI_@`@*9B@kPd27a8m?QZ z)_TAx10J=WrM5fKTwWgvxqxrAHdb)HyxB{NOQv3p@PZAm`n|2RDz}AoOfrAAgP5#) z3-O=WXw)A)>~G#jPg)ykz3-boRSi+6uB?MS#^&$;C^Gcb2lzn z!A$Y|*7)AydoQ67zIlV}ua`?^&wPB|3^Ffo<7%Br$+XD84CF2>MoqD#(TqSG2L{)g zvf;DzC$=M(8L@JxeDaqYhN`g(5jyn@Q&MvC^&X}7Dj=XE<@=ES0J+)}$K4SXe`GrB z;8Es#`iG@IQ~6A>irq3aSrRY~0Hp`P4(PkV70g|!1_>Wqu2u!(1O z9wF}@MpWKz&gMj6bU0rQlO^gL)@!1?K8cA)yov3R{rbd*1S;_u0BYwIFo0~u-s*LQ zp&uVcQGdVQ%Ef=JV#^D0P~7YJ0=@D2$U!m9+{nIVM}Bp8?al(Al?b=XFM*9Z?Rxok zyrU6iU!e2CQflHCCNe#6HS!aE?oLox5sjfUdyT@>4kkNuL1Cf!O&rJR(aAX~&}M)b zSondbxJ@W{Mj%Io9z+w-P0qWb3S5|}JAa`RBcae9v+4^9H#p}7-bH8W4J;L~Gn1cs z-qsL|!t!W*d|DYIBlPNNQr8(@|=x{Z_87f2H77;`2Glw zU*QZIIx6B)2CA6NBn_}jjT40z!2+JvYIo-8;8bv^DIClY#dA|dg?K!*Q5e!)Jo3jAJMk)3K>13G>Li8b>c+1OC-dC9ZrIIm#*u1C6zD-Uk*N zqj;=7BmwM)&U#oZGgVRiHS=^2Q?K5t`n7&%i{11}PvqTZZ89>q#yooH{Bx90)LMB# z4on|Qqw(EaUqD#7uj5mdLw;z^=PB2`rCQsxW)8ln9MM5?`^mji{JMGRZibH3I(o@M z8N;&z?6PTl?u8EkkeqIHzb4R=5L+*~$>*j5QCf$>XLFm0T$C?*>taD<6s1(=yi6^= zi;A9?UFFTU;+QQ}d#EpwIrg3z8QQx*uXs~gn&mP=-EBU>{>{#(T%|?#EnYi>}s$`V@L*S!Kdz$H!7d`oNB;R!DYs|`V zU!!tkw=eYIilHp;5oWfa0v#nhqR-l3w#?BkJA`?A7uI9|XKF|ardl2yQnyW+yWRWD zu!BY-TDJ7om_2W=&L)k@o89(L2Ai=iTihbHFo3Cwr5D3(==eM?5}zS{GJ$uA z!_B3EGojn?tppeZnQEPo67doOt!=F;<70_zF{74s`QFACH%KJz*Cgc~mKx``qWgBa}XUnQ?da>c|uPG$`mfx1g^|q`&b_BGtZZ z!MJ|h7xweD3AHQ}77%!jmPI^svUrs|Y-gss$7c|ym?WhX zvozXO6P;D(P}4xOnIa8XH?$jiCF;b=qP0kAwt;Jf?Wp<@D;|K=sz`WMxC|kM* z!0!H28I5IsBY^QseDZ{)=u%}fMAd@!7*FJ-xBSI(&+emq{LUp`w^?M?;W;iaHa4#Lu5x0> zxxg>HtEsu*+lZj}X1Cb3USGrzkUhSwS*Az8YgStsgSaUAy*|pduFRC!F2%YcxmoCG zfAI3lS4~>DzHe=zp;*#l@qGuqW+H_`w&nR%QHc5K$~0?z$1wVL2C3@{yg1(#cZm>c zs(OX+%UdvO%j@l_Y#C0G$o~2Sv*LXc&AVQVL%fRAR(N~D=BUwoU4G^O9Ek{Z?vaIv zHXre)?tLRGqLxSdME*FxW}*mJclzbTzgZJ9mtG4&)2Q^jL_h$L&U;%q*kAqtGH@YV zYk!4sc#(91fiAfibTBU;yBf?^Cd?%1jbSZ+i6;yAj~37tXuH!S*nQkxd=TtHV6f=8 z)y}(=u+0#{ZE$-IB58uZVM_Jp=DImAN$x}!#6;W0Oy(|7*qI&Hpp)A85|udpoWGqor#{mwkv{Qdx& zmHeiGYd?-}SKdxkzm+>*tZaL5f3>5xJ77<2b;7dncyl9$Nj{d{H5Y z9)~2&gTw;v97a``l&$5JFq)cLoTU&h)rH4 zVwPY~$#Fh?<-w7h_~@WJa5QD{)bs6W9~4F-{h;GyOI@e@Amu^(JaOViE-232^Grwo zv`;ghDpk{}V(*U1BMyBeLk zc0SGLqM9hvQQGKJiicNX?1j#9Hgb0+x7gtbhH^W*G2$qu24kK*=(|^(7KLsUrM&jN zI|i0fz45Mavl3A)#uu-(7S5Iw>wNr%GWjib1F|+Gg{S6D;(~^GJZgW-4|VUel=(<2 z>gUh48*e_^$IE$9tMvLaR}9WCJh(qcesA74LVoKn2Qvdsdc4_zsM&$uR}NXVp^8jG zgLl<<0ASVkA+n{}t$SnKt?tsGjtb%zwl1l#>i#tf-+;+|E^v67yS?$~6FO%9|nOjU~OpI&5D zzukx|#>T1)3Uv=8p@`o*D3wj25&m(A3p7iXvIY7p|pg*Jpy zUg(h!Hz#fSrNwS$i`gpa5!_<%0g>m8xO=n$;J7ySmvP#dJ&n8RZde8J$i$ zn5xiKL>gcKCNvEAa0I-oYT4sex$9*(F$A4=^)$KHN&a?wB;i$L(9-c@n=a&6G@GO4 zWLd?yknRx^L3mMhUTbSBB|SZxR_#T~t@$Ujr;kw0d&ox%KoPP9&>h)RPn!ajl-Lxe zWjFzbwoDSc*`>;kw)iop>-@vS@=!1p@|u2LYUR(*kCB+xFmVaAnVamT$$*lshc{(6 z*Uh);U2!}1;0v29Ho=s^#C7kdmYC`M#ckxaWtYw#GQOZq5-dCj7iL^a`LiQLH&wF~ zfkxP@vjiGq>(Z}Dk5bSqzB0zmQfD?i87iqB?%1??7vS#3pQIx1v%MN5@ukDK?nCmc zM}UST2aDFyyJ(KM3>EoP6@ZvbFee!tku$l|S9j^2NxYF5rWk~bp8E*GuMtnPJVz_1 z*n4jQoeVzD^_|!(Z~Wy$&HBxE`f4TQbgpV=ao)vRb}*a`7mcnXGCafq&QwSq z)7e;)c7LNNq}sTU6W+SS^0VU5;X&clu12{5o}g>-i0MQ`Yw2CQnU23O3~x8Mb|@% zE!`i%;5Ni`2F+W^&;m-Epc#sfUh3VjPIy?dIJiYz+>c*_s>Ne;NI;HG7k@JT`$zXS z2gD*9(DD6t`DQynoT9yi1x^z?61}vs0%y{z94T#DVp(7=f$kU^Pexv?y$t`+e+V6! z_HLd3g9}o|UquNdtOF$&NNhBTR6XH>Q`_9wEVKA|L{EO!q6otIQW5gJ!D2O8q0t~m z(*vwItFD$eK6LMS%#*6dINZ?GiZKZ3k1`rn2xrRl1hXbns}ZQ_r`SxsgM_w=T+GVP$}?fHL=x|F6#d#8`I5Z2IJ%g? z&Fcl-e}LaRPa6rwL>QW+1FJ3xKRVt&8@;$aDUb9z}kbVF26pzgDc^{Di=#*H&QNZ zV=Kr@g@j_e72A#?hLB?Y$jwCH*fS8nMC~q^)(D@P{u$u;VweuYOEWhuhdQoSWM;r44KKU^5zUWzl8TmJ8otbw%%J! zUZGj^3kmphEDcZNrT(x;l^%C?b&W2K2#>#?FueLuEYAl=&ch$5zrV&?Op%_TdqMG= zT(?TG8ZDs;K30cvU@l|$w@p!^qF2d}ynn)yqgmLv}7f(vbQnm2h z@VgU(=A`!)oc-&L!9Tt7ImOnA-y)eMi5`!V7L-Ya?o7;yTNZ3VGo~tGX)O`GpS#jX zl!Dah%QN%%{}50fyCau)IyZz8v>BhPCPg(=79GPb#Y(0KeJFdey)7}iN{_~*SpNUNow`T`>kHYFBb{2n^OQlmPy z>r(mA+QWSnlUj}Dalo^HZ-*V^CjKKV)vBJn{H~OXBQbwzV;D?$Ag5QvkN(m+)qp1V zI}UH-2MO;pvX@cy*D&aUAN}F}7`xACr?CB+HGc68i6DrcIj4mFAsCf}{6B)~>PA+Oey3voX)B-PKW%Jv`?ojTajNv_dA0=?< z@$tgZySZ_5k~WNtC8W$e+m>+>#tLW#Vszr1_z(C1wMq>JYq94zo`VX36l zy6(@G>(p6}whG?OQFMb?I!;tq zS9>A$kpfTSa+*w^0adBI`!iLZL4EI{VTo*pIU1#8{I=vIf*OoC0?jRrMY9dqcF+=2 z=YhWdB#z3^=oB8WNl>Mj(+(aFq%5lcme%>H^^Dc;Cw6K?3A^f6UMC!?;^5QClNp(Y1`jJpxTUfcjr2zc+5BO@XxT6f=>28Vdle`kNgen+L`dt_FS(6(eb2XC@IMG z5F3NKqg-6dv9S_4Ra0qp6}sTNO7NZKOm2{B9NjC0agkZJi>T0Ls>FF&ZU^3rkBwfR z`xAXO4~lKPld@fJr71^V2O%=M7zNj z2M7!fM(t>8^rA}>FqZ>63Pmf|pFYQ>zx_RS=@sZB9+jyqoeMr6U!{w1_23{U|1Brn z`st^wL8XiwxM&kKc&!Cn@)hT{^wa$mR6zG;Y4!_f!?(=8OjS-yzXfz$6Z2L|{s0eg zSA_0_FeYFCBfDoq3h_{iEX3%D5fvt-kS#Q-0|mBJ&Q?{JE@lrYMSNrbfBAM#ot6{ymHwL@s1u9;$^!r+&=#o)%@Ls1>itv0@is5Z$K#Rd zv5AR29P*H83NC?Ur9h;$jcAU5hUxoEYs&Vlqoj6MbNfp1lQ?u!!Bllp4*>3_`KGYv z7Nx&AmP*lgycpVb-A*-XighV;;&P3ipAS$rEIBmCn6PS;nmo8TspfIt05sGF0p^!uf&S?v_!o{L&Me8+S>a1 zd`0pMkBsaoP-g{otdY+TbWG?|FLK-$p2X+(=-=>@fLwX@UDkOGX3YFN3&TsV4>54u zkjPE6p!L;b8aw&r6`Y$DNc|A#msDdF%Ua5xpSdgF;d&cz?z{`}}w4#{}47SBIzXKE`2F5gE2c zwJhpRp!`!t$z&%r$2V`@45sorwcjJ%Lb5#lyt&aI*JvbB>Yg&)stua0vx0PYmxo4& zg_G-MtJG?-vgDd@b919Uc#y_xqZ8g4W~qM-_WgWT4{rA5av+_l_JMZorw}gpi&zD_ zlFs7zpC1TWV1P`$oF~|^-iC?pNWS|}wz&Z<7|D!a#tW-)SQ>&%4v@I|<4%&aew5PW z@E#)}h=K_O8@Y>62BZG*tY~=Qrp69v)UaF{^MQ1i^}Ylq)xtBR*9*oXYi=w;dOc0! z%Dsgaj+ZYxyCn%o1Sg9PUFl1X1a(gg+vvm1@c68e8y;fVUz$0SDBGjSQqG&4&Qs=P zkw?|K8i`&bw3~~EVO$}Dv*})6RgY&ov`e2Dw~z85G=Oi&Pi*WPG>iF02QI6#_5LKk z`&$IVqKX8cJ`P%}-=eNnYNn7TV-U~RWprVal-uO6;M5G*bIzm*Z%IJOhG#7k5UbqiDh9UE6n;2{4Xvp4i;KWw*JcWpT-l8FK;ZN zCA^9-q`fv)K26xc|qMQkoYpmRCAM=0UWyv}%t@+)HK|B7VR$XmA}zkzCX_7_^*GPZfBsektOKYjVX#fO`tDX}|Qu0S8hwR4pfq`{PWsm%EUy2P}LnQ-CM4I%XD_y$(9j2B*H0(~q)p~ByhOm?X zFdImRmTFcm+JV)VMYsQUq^O4FjYpwIDG~dXC#=VgO=FkL&UtI1`ei4l`0eTV&E?Y? zkW+faD=33m>;3W7Tw*J`{7z+7J5?Ra&)}KAwCobjbkVd6q?-GHT=kI^?RPXJV%DEa zHOhf(i}5M2cFV!+*>2W{lwShU2%B zIY{R899S(1Ix_!WAn<-B`+YNWA@uX|v8xH{c;Fgej>lwF$%-J3{bjvBf zu57+`)UO_YcLOo!m>W9ZIWB5Oli~tDkUiCWDa42$E`!G)aG)T9B=YPDI%Qe8T8Dvs z+in=a*8^v|^%WVDqvzMFbRnvHO-l>cun&Vq5qx$AV%$gP8%>54U@9TcnGJ=AB}D7Z z!jA}@XF&34p4{f)XdNk4o5!_Vztm*Jwd-g_3*i9w-)JaI^xAqgL)h)+_BJjqPB8jq z{x>`)4~kOtr^xWI9S_gc1+wYw9zJyan=>7<3jp=;Jdik_rA!fA-O|%d9_0yg$^;J7`%$yeU4;y}{HctHeYT2@{w^~%< z*;8xpcY`T-f-8COsulAp@aUy3j(~LULYjT3>FTQ*lk1YOIUcr-B#%kV{a3ZrW`8#~ z6{YugAs&Mb2c!#e)GyN6Rum^(7e$F|wo~}u9#mo{UtRfsUC;B&DoDE$xuV4+ulMv> zbj$r#O+WH>wj&JIVJ zJgeG==-F*wCXb6+)6Rg0u5m*9i|a4NZPR$pX16#XflZ^yIBJ>s&x>{9@>H7D(zR8r z9P^(u{a4~f$Bs8uek(;EmoW1QU19uHOk$Jf(|wWJXe$28{&I0Qn-ildG=a{Ax4QLv z!fuK-u$G5)yW+-5WQHh4E>nn#CHEJ6(5~n0^WxTB=2UzdYh+aB&G%jdt3KzUGBBYO z@U^F6c+`x5>6)vo5@_i(j6!cB31L0&d$FX3_uiXKx>dYYvnc$r?rJ8JVY*+NS4UeZ z)8mZU9q9RO%r&p$Pn9|h_}MX-%&q0ael|wU9C82$Jqzb&$B>DCbJ_AP(_DFamQx)k zlmd^Ap!b#`{OWg?hStq&(;S;y|7}{K_wuZx*(a49H04@KZ>&Ia9G1>$81{QjZ?^s@ z&Cd!eL%25K3Dw80H8F=QLr*KC14(n;)nP*p@tw1u184iU(-)mwhHM5j1_GcoBwT;H zVmOOpIB1ymsUv<>%*i*bUavh$fGZ>eT!SWd@3D`hT`K7HadlL2mjEv6rP zsrXoNRp#f7x6xn!lUb}!ZHuj#^kYBKRMbwAyyo2-@v;XeE+V7M>&};8`rkr6qrC4m zx?s$a>`0dUh|@%BDLNlX&Y$08{HiA{1&;B3*RI0xlY1NgSL%x05UxQ5=u8#&hV4e)ASr(lYYfi90Zl1Jnw24-!&pkp|m!6wP1C3!N$q#6Z{=`#2vgu{GZMS1aF2BwUz)5(o>yCxb*0 z*amXH`J7&yTaa@(-xP(W(s)B19=*G}xSb+2vWeL4Jobl2@6V-sjLnRin_5Vp%ctBK zg11u2*BUK_4(Df76)QO%gKEBWB(4u#8BrZzr`H=hN>6q9I6=zCFc)gBDEuhtTWb;t ziVG1LyjNGd^@`d|$qiKfU9v;oKJZjdqU)RpaOGwG^d{a>z8DV%r0`D2P zR1ia)BO#ER?yhbd{wPVfe2I_wy?<=6efq+06;)L(t)d-12{+$6-r|F*SbfX)m*LHJ z(c6bUR>MCZ&f(owrOKrhKHHgWN9MRI8J^Fy-8BZeds{-lTpwX=(xS7g4ef}YWgB!p zpo;#kdJV?kIT!4<&0{t*q<-i^ekVs75$mgbv1oI3dN5Y<1zh{vhn&9lIWCln+gGb$ z~55_dx(V@wp2C%csj)nJ0_}&S#EDks;gfVA%3nYq0`sWc%IL^YtlQo*}}(=To+te zUNYbQq3zyHg2HK*@hH%7C2#Nx+PcJK8E8!$pRU&5e7MPP*R~`xr(p3Xbvq4eyl$x& z)|vGA;wu#Y%k%b5!OAm>O_>1RyQ7@74x`SwOAPdK%Jj=*&8P)gGHe`&OIY6Cl<8t4!3d=;fiAK70=Q{8pUuMV5Jzj?`L| zNkQq7<~i?T`lSHCcM!!;0aUgmx*70jnJqu!UoL<}>kgY2X4F+x9{8II@BZ8`K189P zbV%_0&1LfC855g66CjoJgUHjX-%+~53Ee)&&M2K_(t(D)_5>OltSc-|1&+cDOSyLi zsPJ@Vlu?69TYfY@PwRaw1y3SD6CYM-9&< zXG;)h)MS|H#u~M{$8~WR?mB*5p6$8GF4n;35Q*_D?d1 zL)oBlosJDFKwmp%#&cb{HHzo!LQ!Yz$#2+ahP>b^|C!FL9fSzUt465sKK3!2-)4(w zpl39&qj=E~sPX#Z7j_`c&RfcaGdAhGN+OaM+ z0!>Q62F~Jhzm$!$Mh;X9U7X#>P0_6oTL+h&KisnrW>A9lXhkLSqp!s?PRIhLL-5E; z+FZL~Oa8lpDZ+9E{uB+5HXFS7Zo=Y%uPvOW^X|CJom!G?W+uL=dVtWNjD*C zg%^9yDn8X&nY;c^%^=|k;T&2*e|62>&^G+o^KnO_i^X-x%kvG9&!l1 zRAaZ^HlG^Ea!`cW$LG3U97*E+Ix6h`x1Fa*q6b|7Q3f*7&(VB{Z1hhp`+q_d{)ZSi z2jl0=l$D>L-Qw9UKZY|t+QZJ^XEsyM3|syH7u0G{0T;elSYx5pJD^IZ+-mB7vGx{V zadca{FbN^V2tk5N(pYeJ3!!m$hoFtSYXZS71b24}u1$yF?ykYzU2k>rojYgFd}sc9 z|2zHg&|NK6d#_!!H5ajvA!`xCng`)$L9zW!#JLC@2&>j)bSvJU4)sRluQ@c#Yw|P8e|ArTNHsSFb zzW%_Nu2d%Ju1IM~)hreC1x}*%%oh4O5~+8DC*G`%r#7%%GN?ZK+Xx&Hp%CU_y?utb zzEo}z)d{t%$rHQ1!C(u~(Zj29H%CN6U@(D6B_27|7*=q1emj?p_vCE!>XUE_pBp=B zOh6%E&(fYfH*-vJNn5|JB4V-ub6fEN%}V|j&#!LfRmjmXzElp?Sl=@;8+F`2Yau~q zLD$x~KTW~JudwOv+>J^zf^9A*8tv%74&R#>1d_Sec})fk@R=9=7zuej)6)7Sr1!+N z`7KqpgisuD75Td5tOY`zb}_jT@;_LeF-nZ5Z@H_jd_+`Ii`g_xL`d@q=M^v4y~;ML zKc-gdz_dL_w|(NbXRgZ*{q>vF8^Mj%M(o7j9G$zo=Nh#mV?5;skY02-5eEhFV}1v) zfqwi*Y>!2=rdr*#pgNs#lhd;eLy}q6+zg0&&8A*vKJDu-V*K^?cSO1iGMSLkh>gUw?Hl?aDQCV$(je=?v)dSmFbSnGYR+kA73)a?PS; zRJyAy)tbPxgu(f?MC!G+t+*b*JN-pm4TdJF*rfhOvf%XA!-7}zt?6Wz@(d=DMYOBE zhXxBZ$i6BV3YC>cx##tD{2W(K33Gn~?Nc}X$i5}H@>7XDyx2pT@fYna?}ut!iW#TN z97}&D-Bk8N`H^CK^@FfybwnAJ{Sd#K$+JlQOqp6f<8A_q z{-RBdjR>JnUxTPh2_AL4l3BcVNIfW9_4g^vE$Yd*#dF-?t<{mRdQc(@R~0{*%6hHa zYa_8)kNi-0FIm?A3m_p?@ed}TUx#woJ!p)FtFI&B6s!7CWcKR+_U}E8qD+Ud2cACY zq{a^HEIs{nSd47V)(6$!UN6<&R&jE5!*(B53- zL8siHh(iC)$#!;MVAC?qxh+5Z5lVpHF?AxwZfP*}d0%@X9O`sel7jfLoSv8?qB-g# z)`jP8{h0W4)#gDl0X}k)RI2Oo%_^oDqeHeT*#n0UIc;*1Ia)jziFsAFUnt}XvfP6* z5K6h=-8u5MoGBp~YIA`u#3={}X{38&K8Y_b^xQjKsqhdk9ze-O)Y*697~PZO13d5? zk{K9(`XeLEYf(t)R-1>1O=s)AD-(eRbOxTzVwR%bzHz+VdMd|+?JMzdIY;~Enk?@5 zbYV}ci9yGi5=IR~{S*Ch5$?2!vEaM%Ns{h%4{l-&X2|3NVO7XMte}M#0IpwwL!(JR z;fs#M9;4kriwL+{*Ns;-1;6HlXgD)6uiRaI$}dHAF5o6Z-D7mM3?GuQUvaCtb@ptk zIXJPo8GsE8OL-7EU_5@Q0p%-2l@lGUXLUIS{e{Uqlia!oS|F)ns?0n-fH*q7YpBeo z{jMnRK3XIPnx?dWZJ0c2)f{A*E?bzJHu`M5D z)B@8ZUO^-zr1|;z`uh3}CHT1B3v7EzKFS-wV>KNuP%7N22@edUq@-+yU_E>G?p<11 z6&?{Xifr_oC#F?+xA2iXduPn+KLq|Ho~jIv0v_@4T?`?)xfCroo68I&0LSz5C)Mp3 z8T#er<<#V48im|nV|tWF!VyMIMOE*5N`=PwLjU8(H044P5U9MO!otEr=MJa4yPM1P z#8_5#Fc<^Z@9^m8=Rd{}5QyO5FG^~<(Bu&v*w)qtu;@fYMD#T(RMCcph8ygE&BHc( z5DCF*os~ia;kR4|xezh~5v;mqXKz+>IXAYqGa>&F(4)Kwpx&9?Vw&Xy@y|RRUQ2T! z0tHGxs6VoxrJ+ZQza}D@PZRJ7atcs&-yWxpmt0$1l;tBMC(o&@th^}{1vnfjaA05{ zuiF`TBK@yLdLkQZLjW-|o5>Q3#HQf$yt$}Z@U(Mq2n`CdwXtDjU~py&UU#v_`*TcS zUZ>uHfnv(aF@b?y6_jghYr?|9jEs!58r7JAR9XcQQBekFX2H^ez^Wo)R_--d1tKU> zVg>;tpu(uCtel;jD|G+()LT|o_LIi$e@qS=$8X4(Wz0lPUA-VP(}(EK?=cw<>wE=* zy|HLNoC|0f>gykG43Yej0@#tAot;4~_-cRh9v8E6u>iG1wq3|eXjX^ zk(T}4N(yRHIcL)ng|ey9{_t$>1TtYVikc$gJXt6{dkGU6JNx-+;GdK0ot_?9s!XZ? zcze9Wr7&CQitoX8JKL~)?1~X7IOWoFKZCP|f0!DZi*moDuAeyC(&|%&!0m*7zn}@j}0lDyPhegzV1riYi`g7Yv-eTPr@ZTU10n zA4mYIK8;t8JfGR9&Gv6n+qQe%)*;6daJk);TJTlEoM`E|=~XO-bazJ6iTsqLQDLU5 zG;$_Chwd$S36rA~3Vs<#@1rJh{M1Nqdlj*DIQCV_4YY@CO^yO>;8x-(5>v2VH$JGw zklQ4~^Bbu-!{c{K%6-rjK>&>Y6~^Xn2bvBpE?F2KEy}xqSh_D1>Jn#pb#YJt;oE8$25!$+Fv_W!Z8vS!k#&+m>`qKh@d(& zz5DJPOo1mm-o~n9&bL@+si(i^i<19Mr_NR)Ms<0urHNqO{Ozxil3n#Pm_r9aEXwya z#jcKVcegpqrGh$(KJk4*wF)L7Lm_a12u1Zx+&X?`oqusy4k0|TSPkHlFDDtq{I)lU=m^b4s$wi`>YMi0T;pa`Dv5{>ir$24fT z4OP`toDpAp*xB6PlHE;pzvgn>h4#!?EAO9fJM0AaoIk%(+s@VEOSPQ24`#zse_>L0 zf;W7%GAsfH({vnNcA=s(OC|S`8KK}Uy(^#xNOKi=dHH0Z+f52n{0m;cKUxhM5ZH2a zgX_0BTz8x&N21f%q4w&_?u=6suaoqvFCK5*7Az?)mWn{btfQ|Fk>Z7l0<%5aSc8%x zs?Zdo)H*TDmc}LTH%`A$T(J-L&D1u;Cfy!hwNTiT%ym|j?QFgd1z8{_2UYaabfA~6 z_=;;>RzyEfsMPU%!s$tSW>Y3ino$nQZd9dwrSny-$k6g_u__tF+=A2-3{J{eKM6Ek z@U=>Sk_9W(I_>bg%i=$$B@jRT)YQaBv>o(&0>G7{)ZZVvHy6z7vGyXj?ehAP7hhx+ zA06H1j0SBAcA6RTW$EHM`|-)#Tpy=0L*$X%rZ3NCIXJ6ds{eJC)Tt_{Ovw&%$R1l_ zOomqtGzpTcm-tDqMd_9|=lm(DaLrK_s#2Qdi-th#88-bvji3v8M541-}QS|(D=o!xrfa{nPXu8O^bppaN4Iy=UdiZx=*v< z!g(VHf!osRB>MIaqS#e2Gp$@Jf782P}FQfV}*A4R$BwQ+L zY@}5P+i6JBq%sa77T$U8r8iL)swoMct}Z4J2kQBhR@RJu+F}v)clY>vDR5VPFFEu5 z>{V?WT96lVQd|`30M4RpbUHG~D9G@bIqyRcPj!){dUdGA9&xu&_2u+D)OtGG7vu{zxb z!ejG!Ig2;LHtT@_U~~oU*fqg*kNK&w05VX2&VEsTckwk=$eeulhPtbLB41`hc3eO} z1V=jycw$>bsmxEJ=l7Y*JZXScYGWsn!fO@~zYDs#@Jw9FN z!K$&ozD}=Q&O$~eL=|05lbD)nWolag@s3A9LBZaT*Jii7Efy8W1F=QD>=@GT>$EvZ z=%xfoOMCb3S&(-|+I?PvmK@~cM+WvcKZb|PYin!s@;YZ{c^Ir8Fo6Iez4r(Y1d~SM z(#G)*0QS7IbEBv30eO4-1{nD8Q~kO{N}{o`aV=2HaY6|HI-y@jE%1zhpa=go!W;N# z|Hn4|(@x*_1(?=$*qZKi8S_;Ja0Q|Kn8K4EwSZ2NS4!Kv>*AE)6GtR=19Q zfqIPJbf6itw#lM2+&pk|;~%Ph?q(w8w2-#xe+Nw_eMbs>|Hp#FjbkvB$MoV#uAS;v z81tA6*Qtb`la)kFfcUbE7G2D?f@R;iPsF5~L$wI*1K=ljmiJv%fgw#A=SG+7{k-yM z5wbWKo(dhgk;%%JlB>7Hk^FaSj%~G|)WZ?%%(c{>p|3fM{lBW1+rv+eiTC)gA~~BQ zE+3+$dhP@d9S^KO0Rty<2=AfAVwBun%Z+fzrTOOhQ^R)*@Zrs%-BV~7l7`mTa9(@m zE?XWV6)*a~MZ)dHVxw8ju0yZf-6e;*r)G9V{^KCPsFfSWr`b1yLKv^MQh z!bBw=>Xy}#@dMZ$;90@yN7QDjBg0peFLoO{CVoclxK^@x=x6A2#tg`KevMP>t*8fd zY=W6elZjBYD7`>TT_mb*g=e3W({JrM__Eh`3w=7WsH-0EHWgau%(*+kepwl=$Sj+3k zy~(_j`NK+nN%<8YBCUB#WZx`RkjNwrtON!q;}bpEDdb83^lG@x*-YK+dSQUVtI=JD3rvbi@^_3p6w#t~$F?Ca4EOu#R@YWV$>gIR!~JC>AptW( zNyT@VPoF;3{iO@A0x3KWK><6vyE>e6&CTg}Q-ht5A-dF9^6mP8$vWr~D}@!mjoS74 z@#9x$5k==AtI$HacJ&jry3B!*RPYrYl!AVb7uV2NrJ}qtEI8c3*8C*Q-5fY%Yg>o9 zntCP++~Wik%hgLgX@5eq-LX4X+Kh}0x&VFRGqZN=usNd4|~bTB0hLf% zOib<_CL;2QlbxO0t^Iq+M}R7tE>TBqHJPs5r1pP$Z_WijzegQG_V88zOAEcPTHM}2 z&`uNQVQ^_}$BAs#IQQBr3cbs#61Sw?Iag~vE?mQxhhJ9r=Uv$A$>jYrbr}&&RL8pL^vzx0rzMu^7IOn!R#C;# zg1j_#`Ki-uYLMnL_d$&V2r2%ptLc8rxvu!IBa8H|hAO)-o$!}Hu*au$6{2oKrqYso z$51^oXz{cFVm7(FU~(}}`WlTgQl>Qi;$zWbg^KjJB6(cOQFAK@>PzIV6(^$zy)&lmC(_&7bgMWCZ^0Jl<=@hDFvkHR z&_~W4AC}Iq^!fJ<&bHWnnLO{0z9ykIaULG~K8J)q9@sQ2ZTXJHhlt0|d8pv7<`U^o z5thw$x}UKqiVK7Ems7tSIZwUhG{5dJiVY*D8fv>|lCo@V{5)5Gw!v?@Ho-qfKMVw? zv9dUBj44$yNs0VfX4hwYyf;lx?#L8Ey~hL3P1f3OC&0UY)x}rqoIn8m$czJq~(&CE=pB^V-H7I*2Ot_E1rJep@Q>-|L9^U#ftKV}CFSf8n1^Scr!b2-lpI(={8^9k1kfB~n|NUF`jBgAPE zh-1ygF~zanOF`JP4OMIVp-v(~*NcH^oCr-}FeozyQKOKK;0h}HE{M;)q_XV?TRRBU z^d?hw=I4jfrCYL?sm_Dmd#~3NR?FMVLxcU({Z(^=pImqyK;fDm83F=kl)@S^{-Q%x z?gM_0_4+402ERxTPma`-vjPjLg6Fg%o8hqF8EStJ5e$f{|D_V<0zYxGgWc};5<+gr z9UcS0M)Ko^L+B0r9BttVeRK(QKPy|qlf&U`W7@O#kW6C0z%&5Im}pxSPJO?}PH~h*pm_*6-;I0ytw>Zbq!I0KKDwMCNFT^+Jir%5@Wh zSvl@;f_3M4U=X&Qw#PPGSPoDUZ7?(r>paL%>Mj{r4GEW#g1v+%WqtOR7b8Y?P+h#I z=^SCbmxNoI_uX*bu_f`mYe{RihF$y>*xHw%_zGg_nEnFQjIC8Nr_@7VB3) zGf8AUi?6*K5nv-g%aH&-ifB*)kA5kPfxI-)GP-)Vtev|$Q z8~iwoPhUm)oT-)A@GrQ5ievKyt50M%yO( zW8I)||I8(R{-k!^Y!^g~>r)Q@;%I%`g&q($xt;5oRX2Wh| zmxWeFah^-xSt%Xh1>Sb+o}s&y_Mds}(^D9adW(h}Z@~|IFDvQJNRohqk4ntgs5X)V z#w7G`yZ?-6Vq&sdVaib62?rz`q!KUAY&k2}w8aBIMmpU1M)oKCoIK!tOHtAWDFk!n z^z-s1F1gw&87BlSu(`RRBv>Z>Wc|d|fQ&%ioF|e2Cu{7@N``|Cw z^4eQ%2hJ6D)Nbr-MuDO-zqZ7|TR@ttlKu8BoqnKbvg2l}hs*s~6AFqdh@^&(*ad7} z_w^(KO7|~dfGB(r7ta~XZZwb2cDaGv*#P71af=1_ie@N~9%mU9QJq}hx*#V~L=wFf z&?9qtGypmN%lW*nhDC{*f+%4ix#ZZG4hrALQry~2uRqf(1kFmYCMgVTV)QgUUtn>Q zz$&!fpY*y&1CR+0IO>BiPeYTV(gzko~ zfJh96$x(`*gp`SHe2)A~knq*oRg(Tf+h@Yi!uhTYWA>9{M?oYd?VojvhKX#{N$XBu ze_3J!d;j!|^||oSP)(rmY;743djWsT?P;KfvVY_Pgpy?}++Qs3&r$SmdFc3ukjzN8 zuH-!uP>Msxh|DHeo)G;|CnnQPg%{67&GK|&`56+3kNc?gQu0ozP~Q36_^YjTN4^^; z)#pb_x>s}C5hmjDhO>L6FVeks%y=Goj8gm8)f}b^PqEg|v>;5Mn&wS03(2lY~IC$;+jkJUtF#c-<+@=8Ceh}*C z6_pf~5TmK6c|x}nt_Z3sudFVsejTW)h>V1U;5?zY&7GXeiyILj84`MXbK6}i5^{BW z{uTO`etxZy-hlq9(z0-DVKXW2zQP0(asKkL+Mmo8!q9q{qoUD0~PEVCsO%W5fSOVU1f5;bH-WEFfkF* zs@q#(>l%pu--)U*l=vT<_qGF%J@2>}-X1OdVpevC z|E#^e9h*+m*`;2QN{K3ZgBTbe0wY>l$`VJ3!}d76j7s4cGAa>3HjL>g2?%_ysi^^$ z*7Y?YMEKEq{)I&l_$_W*mX;^Z51ttFnvnVhyJFULf3Z7YBM%nwU^_*nsV?&n!T| zCQ!6UQU4M?#(hQ`-NB5>$w`%dTeD$yR5Vz4scM1d@Q`6IICc`Ujf#Jmbce^zUK$%r$Mh1ZBzIgE>rEkfFC>g** z5k?o{E;e%&*88sI>0&X4QVqeNAgI^9l$qX%rdwq+9ZEyOREd8Q8AzTyh4Xx}UPA<* zc4;IW>5=Asm19JB$Xj{dCcJVJnbzBf{aNWwPPvfO*$;BFe`BxS%0qbfOBPxf6_O#8Zr3900=a*6nZmI$qvBvSPgB?tX+YyN#H_L0+ z-oHVu{d!B59YDjT+0MfIx17zCaF#N+}v<({&J(}nFe%CF(E-Q zT-yASvGn++&+U9~s*2M11F|oh=}W5&*>}Uk!v&I~!^5^tPNnFSj|ASmd-tR7JN&A< z4Gr`%lV2%vci&A-;y+Fc5$z0r*3ibjJEf^?$ggM;JCHkbcAppOi`9Zx3xL}7H|oL; z)7cp3tG6PTY%H&s^?uv8C{5VcEX8cCJI=9!)YS0el7MI~`@?6-{tl^-OPFxNAKw`8AE_&KY|#A5zRl?XFtO_kXhY&3|j7n&mzt=7UeH`=yoMA1=zwY8~b9Ir0ok!i2k2Zs#icsw~p^o7AmKO01RB9Wbv%JD|( zA|#9GdIMmQMjO8Xr*YN7WvR2N#}Z>k!vvk=%$L7xdq$W}88E9UbXHph42z_G!t&CJ zAW)KnpDDcDEt;~fu8v;j5q#COJHQwikx0kuKz;J9MdDcX{hjAWn@gZM$C`qITX4BPThxWkgY#tCPCCj;* zk2^8)!V|-pGbgxnv~5{b5)JiUZq~Lb@*nMcH5(};jj09UKnlV|qTUiaev{|f^i>xeWj;y5VE^K1KAFf*)ejye}2FjY>@=#>NI3eu{~Stq$M`yb8wuQ1>k z)0T=P!P@$L_XIr^H8pkWX*ayjQ`|p*^g>9W7s=au@xd&qGWvm6 zb$!!39SYuX3I$;_CGLX#lRl>#w0@mOp0!|Dj=VU1=gEY zflJ_EucH;P76AJTsQa~nL?@utHnA5E76argZ%E&9Z?ef~clsqCp?ak;Q7yy9-R<@B zwE-s^8&3~eOuJQ=uNc8N=z&!nVG8gudSxmRCr)l3%81j5AiWfwho0Ko%S-3`MRG#< zt(Try`C7gpNctU%g?F^34y00K0QI)$a?Z}q zfLoH%w>1yF1}ghBDvjs!^*gT5_frD{oE0Q*moPHOjeycR*Wv=M1+mG-MUhy+&IGC3%1(Qq^91yVXTOQIP~GMuuK@G(9P(J zLPQY5#+p`VBB=u!obct*!>P=?Mx7f~aU{qPE0R`v7x6r9c(f+1mOg zz}C)AiR!hAje4DtiIOQOU$n@ZX*ZVmLzqsmhh`C4U|x|ZCB5>qR!UdtRMj)Bi<~Kq za#dfqj=L7*sd45qNGeLEHpGUqik$+ zsnN;F76t~b3?Xlxyg0^A6;&wXxaZLOuT&qPI{p?vcg=eP?EVM;QXfUKl#~=Jo|KUh zIT}zun@vi=pBNo=(%Ii17Qn>Jj3mIo$mmj--U_h88BD`9N@J;#HaX;^g-#Fs<9?pSzSZCVi;-^w%ek-oL z8?S08gl7L%KCh^fMt`x&Iu|?Wx9BIJmsxgpa90;Z;za`7Z>7m~OdK>_r_YU6tOf=6 zvY-Iq1B^g0tw!a0fB2|IQGiKDbs6*}y3IGbY!5UI)@g2^&qsC{YyjRZz^J9GFQ_n? zu5>;|!MmA)_P%K0;N(Oh<|BZ@uPRwcK;Z~*78!Ic32ngRu}@cs3!X247l1~Tg^7_3 z&o(AGnZ^QP3{Y+*?gRLJ00VauTSQa5yrjR7{4pXi76TjTvttqpfUQ7q^MBu8=5%=Gw4iK#A2EZ~*Z3LLemn9ulD!iBq#g6nIVp~aFrh+J_u?LO4BOG@Y2zcy-K6D-OdlYyk%{HY zRMzYoJILn`*=4cU@ZK`v5S#t)Ve^$JFKV(JZJz7S8=pguB$T$K-s1a5I&(VbsZv6J z1Y{~+D3F>?`%L=oM5J0=A>nSjj9Kk0d0O3?o=vWOUx(=1aWgxGXA@9MKD5XQ-EqJf z{|R}&OhMrpL{DvXbkJI+n0u#%NkF-L-mB)=rh9eA%C1YB z+cRSCg{DK>i?k=92KQ0($@MR_@HR(t(?v})D1?DIo3aHQVf6rc8lxdW{rS-`bnWf%>7+y4@Wt#1Co8<443PgLH zcdU-Y(o|Vhm&L}5E_i$jcXr$eC0)&@Ua6|Bdn*VoYSJvFp@PZ^)&qKLou_+ssPEoV zwsMch!DBri4F1qumG;(O%a215ml!^*`9%w144rsDXV=zp7%VhRpUf0~6U+_QzL0pZ zXI#9l8rj|#Qto;8>&6EZn%3Wde>WG39-K2?4=z|apv8wZXpw%US{=?ed&+5uCtPG@ zUQkMg9*6@14LfYk2<@+pqa<2w*zc^9lYv0A4JA!6=Z@yExE#!jn;Cv{zDS=2!n?VZ zRFCzww;NgvH=Lf>)&Jsrt#)yW2%Q=2FS zZN}0`&jPV%1rE>mrz#2dmLmnxsO3G6B1#M^QtTdbCC1!GXYWon)qto7Eb8RaY z$@Z9B9C1zstDtN)zMttWzW%{i%?PWgZCzf->L!e-oQ7u(NUu}Qw9S|U%8H0+I7$^RU`i?cJWsr3N)x(7g4j3R> z-NjuaOEU(C%y_IQIoeKK_QulhqXjMfglQdgy}Q@-%%nixt&J`quG{i>JCq=qxV2|mH%}v%5kC#hmaK5(1x2LT<2Va5eTKIj~2V*^>1(eFJBLtAZg0gTH%4BIiKpWFcysCQtvA!&;zqvpO=wa z++5$Vcnuu-OFia=-OBzO)K^Z>U;KISi~l~|%)<`|wY{XPw%F%7lvThhgKe;m%})V^ zxLVio7-)qX<1@FHBQ#%zTNSp?QYbfh^yT;=yqcF!yig;G=CHZLygrBh2G$(lyuj7< zrBV%R?grb^?MR_x>N)N$5BXa5>Y-S=z(`nZ2kT!EGQGcKjnS1zDFx3EEW&cWeGa;^ z6Fx!AmyW+FoDynC6H)NyPYB)V{f`{8NKUlCEOL5n`7BTSHPvt0u`Y9XZ%KZ;FC>gM ztp~s6=XVR|k|JtPh}VwV_7LUv`Js4U$Ji&<39jj0?ig!c+J`0J_-$MY`KT-RCATeT z)@MW;PwK_>f;Z`&!tAqm(jAu{*oFnD@-kBrM{9RR(n)}~W>+)?rWN<6o-75sd^O|X z`9WdZvX#BG`GB}?bD0Gl?oP-#Sn5*phx7Cfz`DIwE5s!vQmc5}SDp+^ti)G<)XeVr z$~*V_vsGx?C>z~aL^*4hN1+7K@4X8cZud?*S;)`|%}CQ{vnUK@u*a$`R%Kn62TMWh z>=-c>2iD5kd^d^H`Myl``RvTx|ft|`0Sn@Y-A)P%SWr!tkXP@F$YBvAIPt8)w=zV zPj`$+RRDWr?KD2?(+{)u-3eBM0$d7gyM9UzfV3{<4%S`XO>r(;F}&k>oDN?|2>=PeKHAo z#!eB%#_#Ak4-callWpTkUe5Ko9{j$;2&ZJ^F)=Y|B=BP`3IT2%{KcOz7w`f8uQ$>h zF;sHpG&N_}Xqy3exYQ(5_8ngeNAu7Sng`sAmPJ-HV>t{3uzDbev-%Norr_^@+7H0p zfyjXAMTOghbc~_S)Ti}JG!hXqirmpD&woZHo8{mEaX{eeBY{_e;8k28?`HQ$vkyR+ zaE6%;*B&l5`>fcyTmlLF1!YVQS9QrV(zj@-QA$*{iB&FPxmoQNUv8)cBH7xS8k0IL z#_#$1br=opZbm4%Y-^-_I#4Vv`k{l8{|x+|)0`Z}|AqYC+vsZ%{AOw5R5Ql`2v)n% zz{Jv$4lOz=>c;kTD>*JM&G$2q2tKCs)a>I#fl3cwTZhW7M-N+wz>EvG##1o34Mvw( zF67ldp^&hFjuzOu@ZRA+n62;{G%j2#t8>Jn05jW`s zdNc7JnHEe|+hp7IgTa4`36o8b5^GfPd2i$5G`bC}ed;gxK4vDJoexMx>F4J4OMT_N z**Z`8mdWJc*hl=vY%X*CgoPq;B74&|%sOvCcQ&olhUrKa{0rZi4JPWvN)BgKh(f4a z(&1N76(>30fR6X#%F4#>T#BJusPJ*vt$c!aYOFL4uhD28TBea@sM8t#c~h4}&AhcT zU(=aPKaip-Ou8cCJGYd0W=^*}LU*5JIyNu>*s4}>!upGI1`iw?*32u;h^jrl!47Ge z-uQYa>&U1rhusC`x4Kod3){nA#p+`7LGrm;TsAuMUQt$ph>Rp`w%f-MB3-A?#l#7K zQel7+&z};5$jA%;ZJu5Y{Y(?GTYqeSe7bwxj676h1=ELNl2~v%1i~__f5aNJrA%Qp zItQD#QP94iN*y=sQ$M%otR=bG6A4ph|G8&elQN>1`YVztSQSI!Hb}7xR}G(0DBjoo zRKKPbtWE^QEvt?R`#jibN+W{1>>`K0wj+zktEo z(Pg5)xmIBjfNNEgVj?QEDjDEB(;}E0!qNE**B1LF31qK<_QaJ8-z{dU{rvoZ#&k9g z7LDeYM;+nB6XuED>HwzV{A-iC>ODA1nv-Y5sypS;s@b%n7Y5J3F;6K~cdf^nIVgS- z7Y0IQmY>+u{N%XP8z20JtmLJLf{TwdsJuk#MGyn!fj6DcGjR z4eftxLX+$O=lBp@T_M3u+3{8t1e)4cSpca2MZ0*hzwMC|KYtqcNka!RVFL2>(bVZYQ2=b(9^d z>7Y;1tdlT81--#?$X5!(*3EcsmTjhKLVpk-*hY=G5#0`Tw<<4>OkK}AP=xV*${hW` z6)ZII+0ilL!?lY`RP0B(I-T=1vuK7t_gvg0a|gCfnlq^O%gt2in%sYE;NxUKfIV%+ z0S18S1<4a{cuTdy>Qtsn4A7EGQ{&Xket@zM8a1LED)6;W)0h~=MMZ$=5Dpze)E%E3;+`A{< zr7J;n2nfW7VqgJ9QBtab001{ben}J(*3@UC8QC5R5WJ+ZJv|VhuE>YBP~Ja-L+?z^?;_cW)(%0uLfg7Jl1FTX2`a2e z=L5b(Tpq0CoH{~Th6c;iv$^a3O_uF?Z_nKqqxueI@V7eWxKN1LYpXcVmxej9W2F|} zUfQ=*uDK3;AWn6mvNp;)-8m&@A8(6*JGlXYrH2=X%WV=dfPqAp6BFyA2il_r20m5C zF}AeSZrLr6m$5>bU|~t?#U?Mm(zY9yv>qo1f&5-q0MWQjZ&RAmgav;>rF%|t3Ks=u z^h7?Fdu|sLm&a-sC6b6FC)B!zd1&o;WM4#^$8GOixrDG64E}evRYWQx0zx0IwcTR5 z>gwD>CEZ#$Oy|eXa)i77B?PYM0|KqSL-yy7LM|k=wdYaO{rTcgY@`I2Vj{16_-!st z#3qABaFu&)W`kSYTlwoM=|4>A?q#Kf+6M%jp!8|0Co|n0oCU9NdxfP|Sh{$#RGsgb z?oUMr1bhin0`8Cct;A$mT5I>^ZcvXr6bB=4$ZmH?xt?Xm)Tn`IRWPo*m3f^=!)e<% zGrK?N#T=IH*7*tgH&qu$V#aDK=FD!N`0i^Bew}}pX~aJa31}(KTwgkBJ5p}R3AGJf zxZnNUgZi9Ps6mdo-A%(9km%p>xzE?j0e@_fU$FvtX|@hS;SOZ1`*G15X|*≫⃒bE zN@**9F>?4b$uy42R6Y6qmLGuZhh5w61*P?t_|PwNP@0H);Z5yU!Z4(sfgap+bEYBsDh^9|MchkQ$Y`G&cb&mdHS) z3#3T*nFeRH!^vzn`SSV#vt##H9BZ6Yn1tlxzv!i4ns$be>1FL<#FQ$;&d(4XcnNI< zC7R-x%;Zbl5LO{Iky4-aRxh?`7*O+>=*qgBM6jC8jjS$)9W)Sgs?R-X$eeSPR`BqX z>88<>qB*6OCxaMRv|<`!9gU$bp<|d_mN4mA&=MlH1W{A}cV0&_u*$M#djHPrkf>65 z6UEbL!_Us<3EUnMob}7)^S3oGf-$?hVcnPN^p*OmWdSUW!XNF6@#2{#;>ACQrHk|1 z9C1Ssu_>nGKi4?(jz(aOw_VI%G#f&`nmPR3W!MnNbxTa57ERnz!VNayj3D1Pu^$C$ z9L7kO%l;!>>@b@Hn9e~-o*G?5&nn;z&s1BO85>`$bYZAWwD-i&d)-{vRH^*fsERxD z!f(2BodY^Rj+bZ+E_`F$;W#d&=db*wXaY-uMSqRZP*gu5y_4^(KK6aNo7knggh^OG z1rhn8EloFc;A@jBSQ^dd3=7oFz8!bm;V&TcvR&==h}|<>i7imfuA_9?&r#_%eF(Tj z1BF3MmZ`jnqU4V4rJ|i7qg4&Dq^|2V9mB;9j^)1s00!r%T9UJT-_|xijnWgRjQ%E2 ztAp*R`?+0C|1+tdr?nqG2MmPq*ziS`3Y6MD+b2Sif4Rru0MuQ4O{0>8x$!cgtS9|G zZOKYDAKKOd5n5!A4h2On0hhh;?Nur4%GAWcE+gi1nOK{dHpwcE^2FezD2Uv!E3@a? z(OiaJ5yJ$Ry9$qI0+t9AX|=}88A;O5*K=Fn#K?bBW!>ks6IXGGZsv&?a>IzB=eTb9 zrEdngE&W6>%v5e?!RaoYYV>;9H^KW@q9d8!i?+;o*kt$%s(G!-Zfdp1g!B=!KaO!F88;Gl+P4QJ#tbg9O%p0er!Jr6Hd53A$8vwiz`HQ<6!;`YN zwN(tqn&U9ET|kT)-Uc{6FpPr&8COU|kzRg@CF?Uu|>FqvKU_PX?L zYipFmuBq|#*Hzj%3NFEK{KN{VigvlVI9wu=u(PuZ%*)DJi7iywZ*6@<`+|j?z4VNW z#f81bv+m5#BwTJpq+zDJH9LISDg}k#ttQlL+ljB_DPGkZ^yO+|K!Wcji}VKOp{uxu z@j%KO@ds27rp@K8k`M9L&euZe+l^D6boDRLBEqUP*3m4JAL#{*szs#Fe2~6P(f)u= zJ+Md8er93R`i+Xy{_I9H_3g`=u$15)>>dr2M7C~L&-)r=I)0iJ%w6F=oPCRCGysN% z3^dGVnd?m?dXF5? zr0{K<%^#d5`X63InlQ;DT6HjZXlQ6m$A{{6+^VkK!h^tpI-G#%MFiF5WWg!gQN-tF zLd=9#g$4I2*U-J7A4D-=aKV?6bM8KM&f$WSwecUN*z`80Gge3ShdD0T>@<^A%Q+oM zY>xpkT@BG+3c}Pkbl)R+?cCYkvXl2T?U ztYfaaNJ`o0VSJhpjZCZj!s;Ceq%<78(;OTl9aF}k2P{xpigOP}p7$Gom34Kifv72G z4k>yGNXa>(ZN_gw^&0*vk3K#w8+%&JYSf4NkFE4MYX59zyb04g}WczfmX9hGYs_#mg)bgcVM6_dcQ0tXRUW3t^$$~OAPoiu23GQ@|FNZl$wxz?Xt}gY z(KjP*5LI3U%Ih1l*SvH^mH^G#vmE)sCx?(;r|eHapAZCPi&zYTV^8lTZxnnUzYGWq z>mL}P$S5B!Z}CSB$K|azi=`XWL4*v=&TVuQVxvEvz>XA%N&<3hvTqnv!yjG<2niBr{>Az%Fc8|v$UtPdn)ClCGk#@X3f@|~Y_2W4?h-^#6lHenMZ>*6D;kvAj-QvAsslO9cU_UrKyo4RnE8g=nGn*Z+^-09sIPA?%SfDD@r0B0n zqyDeXt~;u!tlR4_4;&R31_3F80)m3T(53qg0wPTZ3`IK9K{^2<;D|~95$T;k=m`XA zp@s}q5CVkWLXZ|Ip@y0SzKiqT`rdNQTkm`CpRALclYP%Q_w2oYd!N1ceW9_k@E?hw zBsaJ$qyHMOyxc@d2};vC=TZDepX0BiPM!D)$YMwREsgfkBh{xR*Z7Zdldn@@^t}4d zYIoh*Vi;af1dAXJIZBPsjykwvH$)B$)k2O-h%wMxD7ClDGfnpU)zfm+-1yxh9hT@A zQw0&p7`;AmuF(mEX5D$|JbmE6<0a?A$s=7~v(1)q8`mJpg>1vRFND24n-Iw4j^53i z+uIe|aaUbyEfhtb*LxP*_vmM+k~2k9`!9fRki*oO4mOeDt@UY^+}!8RWpHOYv2$ou zT9hXzCo^gi_C8^^nxQTkAPf%!-~kwXC4(mtHN?f&R-Co1!fS!}T-jV;_u?W9;y%6u zB9wpr*}GkvPf=k%FeiHIII45AZ}C0wHibXSZHGPVfnp}F6iKpY$qo`sHausJNtrX< z_w3C1(S61RAEJPd>t{h>_s)`^Uw#X!OFvT;-#UU7BA{0mNzy+97fLdtPR@H?y)f~0 zBx>O@&{^UpJ8oHq5on#O-Jbk6sC{?a`f6?u%K;As_Y4t1#wWFP)edt2DT@%1la&S% z5Qm96`8qfE@ww*@3{1d6G!qVs{K(o@*s3S{N`UdoLEdIgI0aFl$CrSNjTE2?eXJsy zGgQHWhLC+olGZCaI4DDz=yet8ZufAJH$nqCVNFVM=t7XM?RbpBJt^}3+T4^=?6bkD&xtb+QS?O3(N9%q^ zUO;z*QKYIZ2T*SuAngRlyLfC_`&|=BbX|&GQrx&--2WJ}-;CMqTd1_^)2S0U;MvMJ zRcr#%Ieni`uS#@AIF<9vjO8KL=O^`%80byX*0>h`7rL^t_0u;OtHk{B!TL@lm6zt4}bXgd;j|9xjK;2iq2d_M=U#BHo z00Q35PH?gbQ9Z&U`@w8Vvk-PoGp`8wDUVTA&Sxex6)XYcqxPklatiZzm;Q`bg51?} zwRYve0(s&uopPe!2Q>2BKGBOlG9xQ9(J+Q{=)5rp4yWyAVNq0S)#3TivrEW*E>LO9 z!Rtm2zC%?^UkFaU3=|dt>=)b}b}eZFuZqM;Z4Z{Us-kwp_sgSId|$#vq`kLqfFCG8 zIs2A9*P)rdjjnn99A?D0p>T;~8Q$jr8I!V10qNfYHZYCva@{tDV^ru?NB+jp&N{=X zWt~WMeH-F#5RpSV(4)Rd5MIB>Ckqalqv{GsrPaVq*t4Z`pAt**TDAG@f$iwRnO;)A zmr0Kc+v8Mu+eHt}hC*vXg`dv~F?*IO25{d!uKgqbs1WE$qj_LfvJw;9ZGkTzq5=%w zWp@14JYATdx2JCCi?BPKvHs;Xt#*W|9~oHy@DSXzZ9tley(Q>WeZ<*< z3H14d%rDybTygxya6BPhPTFaiE42*GZ)3P4E8t&j42s}om( z9V8GuC#SuL#|&kvr+B0>3#*k3G7h;jZ0hc-mAS#M41b~`Dha^*dm^(1ZEbL;yQ)lHuTkQayxoF|_1*xl^SQeIfZLl{m*M8Ay|Z zT=n)YwQFp)rePkqR|Ot1=)fJnDCH&=Bg2?W*qYIl(PK!u(WV(TthbdS7_&O7G|yUz z52caajN~kOTTU0fF4dW68-%RHUzZnm-KnVvVfGB4pIdn~4}VCc>KGk5fPo<3L_Pu7 z{?_~?eTJ8aj$bmomf3m@ zV)dO9K|v56G9&xqbDCs}p~Ze{cyr}W`Qm#oRlKtB{*q|FzLKhc7>@7^c@!;ybZuzj z#Ku3vk5B{X~EGc#Y1;?_-eAL}DQK|$@boFv!WgnXxPJe=}F1Z(fH2|y8 zB7b$*E6AK5M0wqZ!X7{IXE`}g+xNaVJ6rzpmO$(k{G`1dT@EtO zvMO^F#)Y`L2SixZ?p(AgX;2mwA0R*M|CJ?;XL2$K=V_OX>B(FENI_WFTr5ACRz!O` z^a9(b`~LLT*xCMM(U{)y`CKRzlo4*u&W85|OIc-)1-Fe95-`{Nr#h2X5(7s~GT>0! zRSyMJ5!iJJ9{8UCs#s4#5FW_GP2B7rdQ-tG(xt&MKU8ou(uJnqrv3;-ipw7tMriM` zZZ3oduAw$@AU289!LgAxF}8Ry;Kgq~+g{`^xrvA&c9p=a@}q9pEFPyDEwl5v@F!&H zdA10KY6VQ9FMQCR16e3XYN`>M=_DZMJ8Lox%H&tNC22jGR)0rQy28@L0m(vO?06k*i4pSHx)_&jce*iy z#aXhe1n7I!iNu-o%*NR+gy_Wa8$2+}w0ZQ%xuG~x$74P1IiXQH3AiCHs=PXi zSpg1Ryk$lZY z!;4%wSZVwzveplEr*Vs3cPdf2*V0mp7(&R-P-L|yKl#!a zI`)ULs19)n@NVC&VF}(^`M^{Lhng%4=vri^?ZC2Re0Db3_9$O(5N0TUL0zP^<}~bX zP-AX=$xU8b1iHt_61}=I3pjsC#XG5Ev%W)T3 z{Y|yj)uvzHuQ{rm=TQ~ba~fK7wK>_xD~JyFFD3`SR?b$Q-IdzSamkN{n&c3F5r9oO z&#r0GE~7m*6x}v@50w237|y+%&Wci0EO+Tqq6Nh31YzI5AMfWAi-z8m4Q@>!s1Nj+ z`GwybolL^wtm?acPTsYK2@0lEGd+AekR{tMd_?8MRIOt8y<*o8Ue6jbnjqV}y8G?c z=E`c_rIcyhB`!=u5BQ|p@a?7BG0UO0S7ltcM{L0jFHR^*Or*JqRM=hFrLDoEy^T96 zuSbG=5{~R5B2C>d?D4mPAT#D@v-p&(58v|;s<|0`<@A#E$Ui*a^5A^UhG z`1BK;S{pF3lC5(2s`SKKB!NlN1jJ<4)LnuS!9Zt)g!`~})G!a+J%Szgv31!y!7oN~ z4MigCy)Ok*N;e!`Va_II{hQNaUDR!Sod4b<4lQ}cADJKuc?lJeAdM>4=o~eP#@@n; zgp`3S3Qg8QL`qjZtn?v|O2Mk}1?UUm5i2P{<+_dd*3^SdL&cZ}N32c8F)CX7l%eTH zCBTM}cy^{PNb6tjoZ`gGf7xtw%(rR`GT&Sw{a$Su;7%^GY%xRVinHM-Gx^+xey>>7 zLJpRVQjyr^s=G~Qy$>?>hQ?OYRgoa;yi4+?t{hws_h!qz_WzNt&AK@yJ<=4&{VTlp zC7XTimE!OgJAC6COT;vLwlFzn z%BMSvo34iH5$#nH;36aYekpHSkf)klVRLV9LePIVW;J?jrJ}7mLt2nx$-4c4DF5Pt zeX04us&>B><7}}_%|fP!-YVOQbRIIsH(M4__id3J)>M;W^#;%ZI}5v!|dZnI1Z_82&R(>oN+e1Z|8RjE&Ayw2w*dt3lq}JSr zvc|6@E%|xCJD|VMDFpTppY6|G9gaRx!Ao$SrvYCTu8Wx5-{e(ttwO8&ti)tS%V+0< zy+>Zx+Zv7Yw=-^7NmD01GeQTugNKDeQT?o90XA-jTW+w-?4G61{j9Uc;|+o_(D_9_ z(}@z({3c$G&WWuX^1rgWj4z*r<-k1pcf$N%K&ZS~Y{O7sF3$PuG-0JOFj2(QSS{)> z5qpmfTo6G$7{%IJ>Z9HPsSmiztY<1N_q<}uimFK9da}6bs#FEtlzP9Tr{}gE&W#ZO z!@0rrj@41oZA?o**Niyc0TCG;V5tUw`2Seh-)XdS-;DeJn~MEg65sp-|MM^3>D78; an#PUMOI#~6wr8H>bGllF_ffyuzWOKHE1k3e literal 0 HcmV?d00001 diff --git a/circuitRendering.org b/circuitRendering.org new file mode 100644 index 0000000..36187dc --- /dev/null +++ b/circuitRendering.org @@ -0,0 +1,105 @@ +* Rendering your circuit + This system is very WIP, and is therefore pretty janktastic. + The general idea of the circuit renderer is that you create a vector drawing of your circuit + with special labels for the circuit state you want to render. + The only svg program I have tested this with is inkscape, and I recommend against using other + drawing programs. + + As an example we will use a very simple circuit: + [[./Images/inkscape.jpg]] + + This circuit has a register, and we want to see how its state evolves, thus we add a label. + The name of the register is "Reg_A", which will be replaced by the actual value as the circuit + is simulated. We indicate this by adding "_field" as a postfix. + + Next we make a test for our circuit + + #+begin_src scala + class Adder() extends Module { + val io = IO( + new Bundle { + val reg_a = Output(UInt(32.W)) + } + ) + + val reg_a = RegInit(0.U(8.W)) + reg_a := reg_a + 1.U + + io.reg_a := reg_a + } + #+end_src + + Next we create a test, using an extension of PeekPokeTester + #+begin_src scala + class AdderTester(c: Adder) extends PeekPokeTesterLogger(c) { + // ^^^^^^^^^^^^^^^^^^^^^^^ This is an extension of the regular peek poke tester + + + // Tells us which signals should be logged + override def ioLoggers = List(c.io) + + for(ii <- 0 until 10){ + step(1) + } + + // Writes the log to disk + writeLog + } + #+end_src + + When the addertester is run it will record the state of ~c.io~ for every timestep and store it. + When testing is done, calling writeLog will search for an SVG whose name matches the tested device in + the resources folder. + For adder this corresponds to [[./src/test/resources/svgs/Adder.svg][src/test/resources/svgs/Adder.svg]] + The svg is loaded, and every value with the ~_field~ postfix is replaced with the recorded value sharing + its name. + In the simple adder circuit the only value in io is ~reg_a~ which matches ~Reg_A_field~. + + By running + ~testOnly Ex0.SVGSpec~ 10 svg files will be created, showing the state of the circuit for every step + + You can now see the output svgs in the [[./svgOutputs/][svgOutputs]] folder. + #+CAPTION: The simulated adders + [[./Images/simulatedAdder.png]] + + If you want to view them as pngs and you have inkscape installed you can run ./convert.sh to get png + output instead. + + For a more fully fledged example take a look at + [[./src/test/scala/SVGNestedSpec.scala][src/test/scala/SVGNestedSpec.scala]] + + which can be run with + ~testOnly Ex0.SVGSNestedSpec~ + +* Should I use this? What's the approach? + The time invested in drawing a circuit, laying out fields etc is likely not worth it. + However, for the 5-stage RISCV pipeline the answer is definitely a yes! + In order to get a feel for this approach I recommend trying to debug the matrix multiplier + unit (last exercise) with this approach. + To do so you need to do the following steps: + + (Or you could repurpose the SVGSNestedSpec) + +** Draw the circuit in inkscape + You can add as much detail as you want here, the only thing the parser looks for is + text fields that are postfixed with "_field" + The fields I would be interested in are the row and column counters, and the dot product + accumulator state. + Save the svg as + /src/test/resources/svgs/MatMul.svg + +** Wire the debug IO + The peek poke logger can only observe the top level IO modules, so the signals you want to + record must be made available. + This approach is shown in the chisel introduction. + +** Create the test runner + Your tester should extend PeekPokeTesterLogger. + In your test you need to override the ioLoggers function to record the ports you want to + monitor (typically io, maybe a special debug port) + + At the end of your test add writeLog in order to actually write the svgs + +** Run your test + If everything goes smooth you can now take a look at the SVG output, or if you have inkscape + render it as an svg diff --git a/src/test/resources/svgs/SumOrSquare.svg b/src/test/resources/svgs/SumOrSquare.svg new file mode 100644 index 0000000..9bf717f --- /dev/null +++ b/src/test/resources/svgs/SumOrSquare.svg @@ -0,0 +1,651 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + image/svg+xml + + + + + + + + + + + + + + 1 1 1 + 1 + 0 + + + + + + + + + counter_b_field + 1 + + 0 + + + + + + + + + + + + + + + + + + + + + counter_a_field sum_field square_field out_field + diff --git a/src/test/scala/SVGNestedSpec.scala b/src/test/scala/SVGNestedSpec.scala new file mode 100644 index 0000000..eb2a986 --- /dev/null +++ b/src/test/scala/SVGNestedSpec.scala @@ -0,0 +1,95 @@ +package Ex0 + +import chisel3._ +import chisel3.experimental._ +import chisel3.iotesters.PeekPokeTester +import org.scalatest.{Matchers, FlatSpec} +import TestUtils._ + +import scala.collection.immutable.{ Vector => _ } + +class SVGSNestedSpec extends FlatSpec with Matchers { + + behavior of "SumOrSquare" + + it should "Make some sweet pngs" in { + wrapTester( + chisel3.iotesters.Driver(() => new SumOrSquare(5, 7)) { c => + new SumOrSquareTester(c) + } should be(true) + ) + } + +} + +class MyCounter(countTo: Int) extends MultiIOModule { + val io = IO( new Bundle { + val out = Output(UInt(32.W)) + }) + + val debug = IO( new Bundle { + val counterState = Output(UInt(32.W)) + }) + + val reg_a = RegInit(0.U(8.W)) + val incremented = reg_a + 1.U + + when(incremented === countTo.U){ + reg_a := 0.U + }.otherwise{ + reg_a := reg_a + 1.U + } + + io.out := incremented + + debug.counterState := reg_a +} + + +class SumOrSquare(countToA: Int, countToB: Int) extends MultiIOModule { + val io = IO( new Bundle { + val out = Output(UInt(32.W)) + }) + + + val debug = IO( new Bundle { + val counter_a = Output(UInt(32.W)) + val counter_b = Output(UInt(32.W)) + val square = Output(UInt(32.W)) + val sum = Output(UInt(32.W)) + }) + + + val counterA = Module(new MyCounter(countToA)) + val counterB = Module(new MyCounter(countToB)) + + val sum = counterA.io.out + counterA.io.out + val square = counterA.io.out * counterA.io.out + + when(counterB.io.out % 2.U === 0.U){ + io.out := sum + }.otherwise{ + io.out := square + } + + + debug.counter_a := counterA.debug.counterState + debug.counter_b := counterB.debug.counterState + debug.square := square + debug.sum := sum +} + + +class SumOrSquareTester(c: SumOrSquare) extends PeekPokeTesterLogger(c) { + override def ioLoggers = List( + "" -> c.debug, + "" -> c.io + ) + + for(ii <- 0 until 10){ + step(1) + } + + writeLog +} +